verilog reg 与 wire

reg 与 寄存器实际上应该反过来讲:

- 如果要综合寄存器,需要同时满足俩个条件:

      1。定义为reg,

      2. 在always @posedge(negedge) block中赋值

 

- 如果要综合组合逻辑,可以(任意)

      a. 定义为wire, 用assign赋值

      b. 定义为reg, 只在always @(a b c d) block中赋值 在verilog语言中的reg 类型只是语法意义上。

 

reg类型的变量不能通过assign赋值,而wire类型的变量不能在always block中赋值。参加运算的变量(赋值号的右边)没有类型检查的限制。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值