FPGA编程基础(二)--常用行为仿真描述

1、常用的行为仿真描述语句

利用循环完成遍历

for、while语句常用于完成遍历测试。当设计代码包含了多个工作模式,那么就需要对各种模式都机型遍历测试,如果手动完成每种模式的测试,则将造成非常大的工作量。利用for循环,通过循环下标来传递各种模式的配置,不仅可以有效减少工作量,还能保证验证的完备性,不会漏掉任何一种模式。

(1) for循环仿真

可综合文件:

module signedMul(
		input clk,
		input rstn,
		input [7:0] a,
		input [7:0] b,
		output [15:0] q
    );
    
     reg signed[7:0] ra;
     reg signed[7:0] rb;
    
    always @(posedge clk or negedge rstn) begin
    		if(~rstn) begin
    			ra <= 0;
    			rb <= 0;
    		end
    		else begin
    			ra <= a;
    			rb <= b;
    		end
    end
		assign q = ra * rb;

endmodule
激励文件: <
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值