PT Report_timing –path_type的区别

(1) report_timing –path_type summary


-delay type max
-path_type summary

Startpointendpointslack
Core/spi/spi_tfifo/rp_reg_0_/CPPAD_SPIMISO (inout)-1.12

(2) report_timing –path_type end


-delay type max
-path_type end

EndpointPath Delaypath requiredCRPslack
PAD_SPIMISO61.12 r60.000.00-0.2

(3) report_timing –path_type short


-delay type max
-path_type short

Startpoint: Core/spi/spi_tfifo/rp_reg_0_/
Endpoint: PAD_SPIMISO (output port clocked by spi_slv_clk)
Path Group: REGOUT

PointincrPath
Clock spi_slv_clk’(rise edge 反向)28.0028.00
Clock network delay (propagated)5.5133.51
Core/spi/spi_tfifo/rp_reg_0_/CP0.0033.51 r
Core/spi/spi_tfifo/rp_reg_0_/Q1.10 &33.61 f
… (short省略)
PAD_SPIMISO (inout)15.11&61.12 r
Data arrival time61.12
Clock spi_slv_clk (rise edge)56.0056.00
Clock network delay (propagated)0.0056.00
Clk reconvergence pessimism0.000.00
Clock uncertainty3.059.0
Output external delay1.060.0
Data required time60.0
Slack(Violated)-1.21

(4) report_timing –path_type full_clock


-delay type full_clock
-path_type short

Startpoint: Core/spi/spi_tfifo/rp_reg_0_/
Endpoint: PAD_SPIMISO (output port clocked by spi_slv_clk)
Path Group: REGOUT

PointincrPath
Clock spi_slv_clk’(rise edge 反向)28.0028.00
Clock source latency
PAD_SPICLK(inout)
Pad/SPICLK_PAD/PAD
Pad/SPICLK_PAD/IN
Core/pinmux/CTS_a/Z
Core/spi/clk_gate_rp/latch/clkg
… … ….
Data arrival time
Clock spi_slv_clk(rise edge)
… … …
Slack (Violated)

(5) report_timing –path_type full_clock_expanded (对于generated clock, 补充main clock部分)

(6)-input_pins (不能用于比full短的报告类型)

Clock pclk
… …. ….
Core/uart/U001/I
Core/uart/U001/ZN
Core/uart/U002/A1
Core/uart/U002/ZN
… … …

(7)-input_pins -nets -transition_time(不能用于比full短的报告类型)

PointFanoutTransIncrPath
Clock pclk0.00.0
… …. …
Core/mux1 (net)10.057.0
Core/uart/U001/I0.050.657.6
Core/uart/U001/ZN0.130.4558.05
Core/uart/n232 (net)20.079.1
Core/uart/U002/A10.190.079.1
Core/uart/U002/ZN0.230.980.0
  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值