hdlbits系列verilog解答(Mux2to1)-60

该博客介绍了如何使用Verilog创建一个1位宽的2-to-1多路复用器。当选择信号sel为0时,输出a;当sel为1时,输出b。文中提供了简洁的代码实现,并附有仿真结果。
摘要由CSDN通过智能技术生成


一、问题描述

本节我们创建一个 1 位宽的 2 对 1 多路复用器。当 sel=0 时,选择 a。当 sel=1 时,选择 b。

模块声明
module top_module(
input a, b, sel,
output out );

思路:
本文提供一种简洁写法,读者可以尝试用if else等方法进行构造。


二、verilog源码


module top_module( 
    input a, b, sel,
    output out ); 
    
    assign out = sel 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值