modelsim中仿真波形设置的保存

为了方便以后察看仿真结果,可以将仿真波形保存在.Wlf文件中:

一.保存过程:

1.完成仿真波形

2.切换到sim视窗

3.点击保存图标,可以直接保存为.wlf文件

二.打开过程

1.打开modelsim

2 file菜单打开.wlf文件(要在文件类型中选择.wlf类型)

3.确定之后出现sim视窗

4.选中窗口中的测试文件名右击————add wave即可在wave窗口打开该测试坡形。


三.
也可以将波形保存为.do文件

 do文件记录了仿真的过程和加载的各种库.

do文件的保存过程:

file——>save format——>D:/modeltech_6.5b/examples/wave.do 可以更改do文件名称和存放目录

2.do文件的加载:

file——>load(注意要在sim视窗下)。打开之后貌似只显示出仿真过程代码,没法显示波形。



1、在wave界面,将仿真波形保存为 .do文件(信号列表文件)。

2、切换左边任务栏至“sim”,,点击保存,给将要保存的 .wlf文件(波形文件)命名为自己想要的名字,默认为vsim.wlf。

(wlf文件为modelsim的wave log file文件格式)

3、保存,OK,关闭modelsim(注意,必须关闭modelsim,否则打开wlf文件的时候,会提示未正常关闭,无法打开)。

4、重启modelsim,open 之前保存的 .wlf文件,然后 load 对应的 .do文件。

也可以使用命令行的格式打开此波形文件,举例如下:vsim -view wave.wlf -do run.do。


  • 4
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值