Verilog 数据转换器(2)通过时钟分频倍频实现数据位宽转换

#学习记录#

推荐阅读:数字前端-数据转换器(1)通过时钟分频与倍频实现数据位宽转换-CSDN博客

1  由宽到窄数据转换

1.1 代码

`timescale 1ns / 1ps
//
// Company: 
// Engineer: Mr-pn-junction
// 
// Create Date: 2023/11/07 13:50:01
// Design Name: 
// Module Name: wide_to_narrow
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module wide_to_narrow(
    input clk2x,
    input rst_n,
    input clk1x,
    input [31:0] datain,
    output reg [31:0] datain_sync,
    output reg [15:0] dataout_clk2x,
    wire [15:0] dataout_clk2x_nxt
    );
always @(posedge clk2x or negedge rst_n)begin
    if(!rst_n)begin
    datain_sync <=0;
    dataout_clk2x <=0;
    end
    else
    datain_sync<=datain;
    end
assign dataout_clk2x_nxt = !clk1x?datain_sync[15:0] :datain_sync[31:16];
always @(posedge clk2x or negedge rst_n)begin
    if(!rst_n)begin
    datain_sync <=0;
    dataout_clk2x <=0;
    end
    else
    dataout_clk2x <= dataout_clk2x_nxt;
end
endmodule

1.2  testbench

`timescale 1ns / 1ps
//
// Company: 
// Engineer: Mr-pn-junction
// 
// Create Date: 2023/11/08 08:05:05
// Design Name: 
// Module Name: wide_to_narrow_tb
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module wide_to_narrow_tb(  );
    reg clk1x;
    reg clk2x;
    reg rst_n;
    reg [31:0] datain;
    wire [31:0] datain_sync;
    wire [15:0] dataout_clk2x;
wide_to_narrow tb(
    .clk1x(clk1x),
    .rst_n(rst_n),
    .clk2x(clk2x),
    .datain(datain),
    .datain_sync(datain_sync),
    .dataout_clk2x(dataout_clk2x)
    );
initial begin
    clk1x=0;clk2x=0;rst_n = 0;datain = 32'h0000_0000;
    #5
    rst_n = 1;datain = 32'h0000_0000;
    #20
    datain = 32'h1111_0000;
    #20
    datain = 32'h3333_2222;
    #20
    datain = 32'h5555_4444;
    #20
    datain = 32'h7777_6666;
    #40
    $stop;
end
always #5 clk2x = ~clk2x;
always #10 clk1x = ~clk1x;
endmodule

1.3  仿真结果

图1  仿真结果

2  由窄到宽数据转换

2.1  代码

module     narrow_to_wide(
                    input  clk2x,
                    input  clk1x,
                    input  [15:0] data16,
                    output reg [31:0] data32_clk1x
                    );
reg [15:0] data16_tmp;
reg [31:0] data32_clk1x_nxt;
always @(posedge clk2x) begin
    data16_tmp <= #2data16;
end
assign data32_clk1x_nxt = {data16[15:0],data16_tmp[15:0]};
always @(posedge clk1x) begin
data32_clk1x <= data32_clk1x_nxt;
end
endmodule

2.2  仿真结果

参考文献

[1]  Verilog高级数字系统设计技术与实例分析. Kishore Mishra. 电子工业出版社.

[2] verilog手撕代码(7)数据位宽转换.Verilog手撕代码(7)数据位宽转换_verilog位宽转换_FPGA个人练习生的博客-CSDN博客

  • 4
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: Verilog 用于实现时钟分频功能的方法是使用计数器,以及一个用于判断何时输出时钟信号的控制信号。基本步骤是:1)设置一个频率值,2)用计数器在每次时钟周期中计数,3)当计数器达到预设值时,输出一个控制信号,4)根据控制信号发出时钟信号。 ### 回答2: Verilog是一种硬件描述语言(HDL),用于描述数字电路和系统。时钟分频是一种常见的功能,可以实现减慢时钟频率以适应特定的设计需求。 要实现时钟分频功能,可以使用计数器和触发器来控制时钟的频率。 首先,我们需要声明一个计数器变量来记录时钟的计数值。然后,我们可以使用一个条件语句来控制何时更新计数器的值。例如,我们可以使用一个立即执行条件,当计数器达到特定的值时,将其重置为0,并设置一个输出信号以表示时钟的分频。 下面是一个Verilog实现时钟分频功能的简单示例: module clock_divider ( input clk, input [31:0] count_value, output reg out_clk ); reg [31:0] counter; always@(posedge clk) begin if(counter == count_value) begin counter <= 0; out_clk <= ~out_clk; end else begin counter <= counter + 1; end end endmodule 在这个例子中,我们声明了一个输入时钟信号`clk`,一个计数器值信号`count_value`,以及一个输出时钟信号`out_clk`。`counter`变量用于记录时钟的计数值。 在`always`块中,我们使用一个条件语句来控制何时更新计数器的值。当计数器`counter`的值等于`count_value`时,表示已经达到了分频的条件,我们将计数器重置为0,并切换输出时钟信号`out_clk`的值。否则,我们将计数器的值加一。 要使用这个时钟分频模块,我们需要在顶层模块中实例化它,并为输入时钟信号和计数器值信号提供适当的值。输出时钟信号可以连接到其他模块中的时钟输入端口。 总结起来,时钟分频是一种常见的功能,在Verilog中可以通过使用计数器和触发器来实现。通过控制计数器的值和输出时钟的状态,可以实现减慢时钟频率的目的。 ### 回答3: 时钟分频是指将输入时钟信号分频为较低频率的输出时钟信号。在Verilog中,我们可以通过使用计数器来实现时钟分频功能。 首先,我们定义一个计数器变量,用于对输入时钟进行计数。然后,我们定义一个参数或变量,用于指定所需的分频倍数。接下来,在每个时钟周期的顶部,我们对计数器进行递增操作,并且当计数器达到指定的分频倍数时,我们将输出时钟变为高电平。最后,在计数器超过指定分频倍数之后,我们将输出时钟变为低电平,并重新开始计数。 下面是一个示例Verilog代码,实现将输入时钟分频为8倍的功能: module clock_divider ( input wire clk_in, output wire clk_out ); parameter DIVISOR = 8; // 分频倍数 reg [2:0] counter = 0; // 计数器变量 always @(posedge clk_in) begin if (counter == (DIVISOR - 1)) begin counter <= 0; clk_out <= 1; end else begin counter <= counter + 1; clk_out <= 0; end end endmodule 在这个例子中,当计数器达到7 (8-1) 时,我们将输出时钟变为高电平(1),并重新开始计数(将计数器清零)。在计数器没有达到7之前,输出时钟始终保持低电平(0)。 该代码可根据DIVISOR参数的设置,将输入时钟分频为8倍。这样,输出时钟的频率将是输入时钟频率的1/8。通过调整DIVISOR参数的值,我们可以实现不同的分频倍数。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值