FPGA错误[Place 30-574] 以及串口乱码错误

[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
    < set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets sys_clk_IBUF] >

    sys_clk_IBUF_inst (IBUF.O) is locked to IOB_X1Y102
     and BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y31

 

使用串口时遇到此错误,网上查找之后发现添加该语句可以消除错误但是串口还是乱码。

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets sys_clk]

此时由于我直接把FPGA的时钟线来作为串口的时钟线所导致的。

添加BUFG即可。

 

 在顶层模块中例化。

 

  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值