Verilog专题(九)DFF、Dlatch、JK flip-flop

前言

    对于verilog的学习,这里推荐一个比较好的实践网站HDLBits:https://hdlbits.01xz.net/wiki/Main_Page

    本系列记录一些我觉得有价值的题目,希望通过这些题目可以对verilog更加熟练。

 

D flip-flops

    D触发器根据复位的不一样可以分为同步复位DFF和异步复位DFF,之间的区别就是复位信号是否在敏感列表中。

同步复位DFFmodule top_module (    input clk,    input reset,            // Synchronous reset    input [7:0] d,    output [7:0] q);    always@(posedge clk)begin        if(reset) q=0;        else q=d;    endendmodule异步复位DFFmodule top_module(  input clk,  input [7:0] d,  input areset,  output reg [7:0] q);    always @(posedge clk or posedge areset)    if (areset)      q <
  • 8
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值