interface.sv

interface fifoPorts #(parameter DSIZE=8);
    logic wclk;
    logic rclk;
    logic [DSIZE-1:0] rdata;
    logic wfull;
    logic rempty;
    logic [DSIZE-1:0] wdata;
    logic winc,wrst_n;
    logic rinc,rrst_n;

    logic full_pre;
    logic empty_pre;
    clocking wcb @(posedge wclk);
        output winc;
        output wdata;
        input wfull;
    endclocking:wcb

    clocking rcb @(posedge rclk);
         output rinc;
         input rdata;
         input rempty;
    endclocking:rcb

    modport TB(clocking wcb,clocking rcb,output wrst_n,rrst_n.wclk.rclk);
    modport DUT(output rdata,wfull,rempty,full_pre,empty_pre,
                input wclk,wdata,rclk,winc,wrst_n,rinc,rrst_n
    );
endinterface

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

C4HCS

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值