FPGA面试笔试专题——一些基础电路设计

 

1、全加器设计

全加器考虑进位输入与进位输出,以4位全加器为例:

module full_add(
input rst_n,
input clk,
input [3:0]a,
input [3:0]b,
input cin,
output reg [3:0]sum,
output reg cout
);

always@(posedge clk or negedge rst_n)
begin
    if(!rst_n)
        begin
            {cout,sum} <= 5'b0;
        end
    else
        begin
            {cout,sum} <= a+b+cin;
        end
end
endmodule

2、4选1数据选择器

按照组合逻辑实现,可以使用case语句(应注意case的语法):

module choose41(
input a1,
input a2,
input a3,
input a4,
input [1:0]sel,
output reg c
);

always@(*)
begin
    case(sel)
    2'b00:begin
            c = a1;
        end
    2'b01:begin
            c = a2;
        end
    2'b10:begin
            c = a3;
        end
    2'b11:begin
            c = a4;
        end
    default:begin
            c = a1;
        end

end

3 、译码器

译码器将输入编码转换为对应输出,以3_8译码器为例:

module decode3_8(
input en,
input [2:0]code,
output reg[7:0]state,
);

always@(*)
begin
    if(!en)
        begin
            state <= 8'd0;
        end
    else
        begin
            case(code)
            3'b000:begin
                state = 8'b0000_0001;
            end
            3'b001:begin
                state = 8'b0000_0010;
            end
            3'b010:begin
                state = 8'b0000_
  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值