FPGA笔试面试专题——不用状态机实现序列检测

本文介绍了如何在FPGA设计中,不使用状态机而是利用移位寄存器和比较器来实现序列检测的方法。通过移位寄存器存储输入信号,然后用比较器检查输入序列是否匹配预设的检测序列,以此触发相应的输出。
摘要由CSDN通过智能技术生成

之前接触的序列检测电路都是通过状态机实现的,其实序列检测也可以通过移位寄存器和比较器很方便的实现:

移位寄存器用于存储输入;

比较器用于比较输入和待检测序列是否一致,产生输出;

module seqdet
(
   input wire x,
   input wire clk,
   input wire rst,
   output wire z,
   output reg [4:0] q
);
 

 
assign z = (q == 5'b10010) ? 1'b1:1'b0;
 
always @ (posedge clk,negedge rst)
   if(!rst)
      q <= 5'd0;
   else
      q <= {q[3:0],x};
 
endmodule 

参考:

Verilog -- 序列检测器(采用移位寄存器实现)

 

  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值