【数字IC后端学习】DC综合 约束设置(Design Complier)

在DC的约束中,这个两个图非常重要且实用。

第一个图是时序约束方法,分为以下三种:
- 设置输入延迟 set_input_delay
- 设置输出延迟 set_output_delay
- 设置时钟周期 creat_clock

第二个图为环境约束方法,分为以下四种:
- 设置输入驱动单元 set_driving_cell
- 设置输出负载单元 set_load
- 设置工作环境 set_operating_conditions
- 设置连线负载 set_wire_load_model

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值