DC综合约束:注意事项

1、用采样时钟约束输入信号的input delay

输入信号的input delay不使用驱动时钟来约束,而是使用采用时钟进行约束

举例如下:

2、clock gating输出时钟驱动的信号的output delay使用clock gating之前的输入时钟来约束

clock gating模块的输入时钟和输出时钟属于同步时钟,且具有相同的时钟属性,因此cg输出时钟驱动的时钟信号output delay一律用cg输入时钟进行约束

举例如下:

3、clock gating输出时钟不用设置clock uncertainty

clock gating模块的输入时钟和输出时钟属于同步时钟,且具有相同的时钟属性,因此当输入时钟已经设置了clock uncertainty时则不需要设置uncertainty

举例如下:

4、输入复位信号rst_n_in需要设置input_delay、set_ideal_network

、don’t_touch_network

举例如下:

5、输出复位信号rst_n_out需要设置output_delay、set_ideal_network

、don’t_touch_network

举例如下:

6、输入时钟host_clk,clock gating后的时钟cg_host_clk,虚拟时钟virtual_host_clk以及分频时钟div_host_clk属于同步时钟

clock gating模块输入时钟为host_clk、输出时钟为cg_host_clk、host_clk分频产生的时钟div_host_clk、以及host_clk输入时钟对应的虚拟时钟等四个时钟输入同步时钟,在DC综合约束中要设置为同步时钟。

举例如下:

7、Serdes输出pin脚tx_n/p需要用get_pin约束,而不是用get_port约束,输入rx_n/p可以用get_port约束

Serdes模块输出信号tx_n/p需要保证在Serdes IP输出pin脚到模块port的连线过程中不能插入驱动,而仅仅使用get_port约束不够的,而串行输入rx_p/n则可以用get_port约束

举例如下:

NOTE: 个人原创,限制转载

  • 3
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
DC是Design Compiler的缩写,是一种常用的硬件综合工具。时序约束文件是在进行逻辑综合过程中必不可少的一部分。时序约束文件主要用于描述电路中的时序要求和约束。 时序约束文件通常包含以下内容: 1. 时钟约束:描述时钟信号的频率、占空比以及时钟时序要求。时钟约束是设计中最重要的一部分,它直接影响到电路的性能和可靠性。 2. 输入输出约束:描述输入和输出信号的时序要求,包括输入信号的延迟、输出信号的建立时间和保持时间等。 3. 路径约束:描述电路中关键路径的时序要求。关键路径是指电路中最长的延迟路径,时序约束需要确保关键路径的时序满足需求,以保证电路的性能。 4. 时钟域约束:描述不同时钟域之间的时序要求,包括时钟域之间的延迟和同步要求等。时钟域约束是多时钟设计的必备部分,它确保在不同时钟域之间的数据传输的正确性。 时序约束文件的编写需要根据具体的设计需求和平台要求进行。在编写时序约束文件时,需要对设计的时序要求有清晰的理解,并结合硬件平台的特性进行合理的约束设置。同时,也需要不断进行时序分析和优化,确保设计的时序满足要求。 总而言之,时序约束文件在电路设计中扮演着非常重要的角色。它能够确保电路的性能和可靠性,并提供指导性的信息用于优化设计。准确的时序约束文件能够帮助设计工程师有效地进行电路设计和优化工作。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值