【DC 综合】Design Complier 使用总结(2)DC 的工作流程

7 篇文章 48 订阅 ¥9.90 ¥99.00
3 篇文章 0 订阅

目录

1. DC 综合步骤

2. DC 的启动方式

3. DC 的工作流程

3.1 设计文件的书写

3.2 指定工艺库

3.3 读入设计

3.4 定义环境约束条件

3.5 设定设计的约束条件

3.5.1 定义时钟

3.5.2 设定设计规则约束

3.5.3 设定输入/输出延时

3.5.4 面积约束

3.6 优化设计

3.7 分析及解决问题

3.8 保存数据


1. DC 综合步骤

综合 = 转化+逻辑优化+映射

(Synthesis = Translation + Logic Optimization + Mapping)

逻辑综合一般可以分为三个步骤:

  1. 转化(Translation):将 Verilog、VHDL、SV 描述转换成通用网表 GTECH(Generic Technology) ,它是一种中间格式,可以映射到不同厂家的工艺库(台积电,中芯国际等)。
  2. 逻辑优化(Logic Optimization):根据设定的时延、面积、线负载模型等综合约束条件对电路网表进一步优化的过程。
  3. 映射(Mapping):是指将通用网表 GTECH 库元件映射到目标库中的门级标准单元,如寄存器,与门, 或门等。此时的电路网表包含了相关的工艺参数,如网表(V文件)、延时信息(SDF文件)、约束信息(SDC文件)等。ddc 文件会包含以上文件的信息。

针对 GTECH 网表这里做个更为详细的解释:GTECH(generic tech

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Linest-5

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值