matlab与FPGA无线通信、FPGA数字信号处理系列(5)—— 在 Vivado 中 使用 Verilog 实现串行 FIR 滤波器

9 篇文章 44 订阅 ¥29.90 ¥99.00
43 篇文章 71 订阅
本文介绍了如何在Vivado中使用Verilog实现7阶串行FIR滤波器。通过MATLAB生成仿真信号,讨论了滤波器的结构、系数设计以及使用Matlab与Vivado联合仿真的方法。提出了利用滤波器系数对称性优化处理速度的策略。
摘要由CSDN通过智能技术生成

     在 FPGA 实现 FIR 滤波器时,最常用的是直接型结构,简单方便,在实现直接型结构时,可以选择串行结构/并行结构(第六讲)/分布式结构。

     串行结构即串行实现 FIR 滤波器的乘累加操作,数据的处理速度较慢。N 阶串行 FIR 滤波器,数据的输入速率 = 系统处理时钟速率 / 滤波器长度(N+1),本例使用 7 阶串行,系统时钟 32 MHz,这样数据的输入速率(也是采样速率)为4 MHz;

相关博客:
(3)Matlab 与 Vivado 联合仿真 FIR 滤波器
MATLAB 与 FPGA无线通信、图像处理、数字信号处理系列 汇总
在这里插入图片描述
     7 阶串行 FIR 滤波器结构框图如图所示,只使用一个乘法器,按照输入顺序依次串行使用该乘法器,
     输入信号为 0.5 MHz 叠加 1.8 MHz 信号,采样时钟为 4 MHz(系统处理时钟频率 / 滤波器阶数)16-bit量化;
     滤波器系数 8-

  • 3
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值