Quartus Prime Pro 20.2与Modelsim进行联合功能仿真

*Quartus Prime Pro 20.2与Modelsim进行联合功能仿真

Quartus 20.2不同于之前版本的Quartus,可以直接在Tools—>EDA Simulation Tool—>Run EDA RTL Simulation菜单下直接进行功能仿真。
需要提前下好quartus 和modelsim
对于该版本的quartus完成具有IP核的工程的Modelsim调试由以下步骤完成:
1.新建一个工程
如下所示,该工程中创建了一个RAM IP核和一个顶层文件
最好再写个testbench,这里没写
在这里插入图片描述
IP核在generate HDL时需要选择所有选项
在这里插入图片描述

2.设置EDA Tool Setting
打开Assignments>setting>EDA Tool setting
在这里插入图片描述

红圈部分选择modelsim-intel FPGA即可
(这部分记得全屏)
在这里插入图片描述
3.产生一个simulator setup script template
同样是打开quartus菜单中processing>start compilation,完成之后打开菜单tools>generate simulator setup script for ip
在这里插入图片描述
完成之后,工程文件夹中会多一个mentor文件夹在这里插入图片描述
打开这个文件夹,会有一个这个文件
在这里插入图片描述
4.修改simulator setup script
按如下步骤进行
图片来源于文件ModelSim* - Intel® FPGA Edition
Simulation Quick-Start
在这里插入图片描述
接着用以下语句进行替代do文件中语句
在这里插入图片描述
在这里插入图片描述
5.编译并进行仿真
打开modelsim-Intel FPGA(一般在下载的文件夹内Intel_FPGApro>20.2>modelsim>win32loam>modelsim.exe
然后file->open 打开testbench和这个do文件
在modelsim的transcript中执行语句
在这里插入图片描述

do mentor_example.do
(记住一定要先用cd 加放这个do文件的目录 C:/// 进入这个文件夹,再执行do 语句)

评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值