UVM中uvm_event中带参数的用法

uvm event 用法
1.

//文件a:
uvm_event event1;//定义
event1=uvm_event_pool::get_global("event1");//例化
//条件:
event1.trigger();
//文件b:
uvm_event event1;//定义
event1=uvm_event_pool::get_global("event1");//例化
event1.wait_trigger();
.....

则文件b在执行的过程中会一直在wait_trigger等待,直到event1.trigger()触发为止。

2
wait_trigger_data()用法
uvm event是可以带参数的,但是需要注意的参数必须是uvm_object类型的,因此在传递参数的时候,需要提前定义好一个类,这个类是从uvm_object扩展来的。
具体例子
//提前定义好uvm_object类型的class1类

class class1 extends uvm_object;
`uvm_object_utils(class1)
int a;
function new(string name="class1");
  super.new(name);
endfunction
endclass

class1 copy;
copy=class1::type_id::create("copy");
copy.a=xx;
event1.trigger(copy);
//文件b
class1 copy;
copy=class1::type_id::create("copy");
uvm_object copy1;
event1.wait_trigger_data(copy1);
if(!$cast(copy,copy1)) begin
  `uvm_error(xx)
end
b = copy.a;

到此时为止就把copy.a的值传递给了b。
UVM event的用法在uvm环境中比较常用,也比较好用,一定要牢牢掌握用法,这里还需要注意的是,uvm中很多类型都是属于uvm_object的,都是可以作为参数进行传递的。

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值