UVM中uvm_event中带参数的用法

uvm event 用法
1.

//文件a:
uvm_event event1;//定义
event1=uvm_event_pool::get_global("event1");//例化
//条件:
event1.trigger();
//文件b:
uvm_event event1;//定义
event1=uvm_event_pool::get_global("event1");//例化
event1.wait_trigger();
.....

则文件b在执行的过程中会一直在wait_trigger等待,直到event1.trigger()触发为止。

2
wait_trigger_data()用法
uvm event是可以带参数的,但是需要注意的参数必须是uvm_object类型的,因此在传递参数的时候,需要提前定义好一个类,这个类是从uvm_object扩展来的。
具体例子
//提前定义好uvm_object类型的class1类

class class1 extends uvm_object;
`uvm_object_utils(class1)
int a;
function new(string name="class1");
  super.new(name);
endfunction
endclass

class1 copy;
copy=class1::type_id::create("copy");
copy.a=xx;
event1.trigger(copy);
//文件b
class1 copy;
copy=class1::type_id::create("copy");
uvm_object copy1;
event1.wait_trigger_data(copy1);
if(!$cast(copy,copy1)) begin
  `uvm_error(xx)
end
b = copy.a;

到此时为止就把copy.a的值传递给了b。
UVM event的用法在uvm环境中比较常用,也比较好用,一定要牢牢掌握用法,这里还需要注意的是,uvm中很多类型都是属于uvm_object的,都是可以作为参数进行传递的。

uvm_active_passive_enum 是 UVM (Universal Verification Methodology) 定义的一个枚举类型,用于区分 UVM 组件(如 agent)的工作模式是主动(active)还是被动(passive)。在 UVM ,agent 组件可以是主动的,也可以是被动的,这取决于其子组件的行为。 - 主动模式(active): 在主动模式下,agent 会生成事务(transactions),并将其发送到被测设备(DUT)。主动 agent 通常包含 sequencer,它负责从 sequencer 获取序列并发送事务到驱动(driver)。 - 被动模式(passive): 被动模式下,agent 不生成事务,而是响应来自其他主动 agent 的事务。被动 agent 不包含 sequencer,而是可能包含监视器(monitor)和检查器(checker),用于观察通信并进行验证。 uvm_active_passive_enum 通常用于 agent 的构造函数,以便在创建 agent 实例时指定其模式。例如: ```verilog class my_agent extends uvm_agent; `uvm_component_utils(my_agent) uvm_active_passive_enum m_mode; // 枚举类型的成员变量 function new(string name, uvm_component parent); super.new(name, parent); endfunction virtual function void build_phase(uvm_phase phase); // 根据 m_mode 的值决定构建主动或被动 agent case(m_mode) UVM_ACTIVE: begin // 构建主动 agent 相关组件 end UVM_PASSIVE: begin // 构建被动 agent 相关组件 end default: `uvm_fatal("MODE", "Invalid agent mode") endcase endfunction endclass ``` 在 UVM 的配置,可以通过 uvm_config_db#() 来设置 agent 的模式。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值