UVM进程的同步之uvm_event

本文探讨了UVM中的uvm_event如何增强系统级同步,并对比了其与SystemVerilog event的区别。uvm_event提供组件间的独立性和复用性,支持数据传递和callback操作。通过trigger和wait系列函数实现同步,使用uvm_event_pool进行全局管理,确保事件同步。预触发和后触发回调允许自定义行为,但需注意预触发返回值避免影响触发流程。
摘要由CSDN通过智能技术生成

在SV中,可以使用event来实现进程之间的同步,在UVM中也与专门的类来实现进程之间的同步:
uvm_event#(typeT=uvm_object)。
uvm_event相比于event最大的优势是可以实现不同组件之间的同步。比如组件A,B要实现同步,如果使用SV的方法,必须在组件B中将组件A中的事件event赋值给组件B中的event,B.event=A.event。但是这样做出现了跨组件之间的变量连接,大大降低了组件之间相互的独立性,降低了环境的复用性。出现了uvm_event#(typeT=uvm_object) 这个同步事件

uvm_event#(type T=uvm_object)除了增加环境的独立性,复用性之外,还可以增加callback操作,这在SV event是不肯能实现的

同时uvm_event#(type T=uvm_object)在触发的同时,还可以传递数据,这个这在SV event是不肯能实现的

当然uvm_event#(typeT=uvm_object)本质上依旧是event的重重封装,只是更加方便用户的使用和环境的搭建。

在SV中 event是这么进行同步的

->event @event/wait(event.triggered)

在UVM中

uvm_event.trigger(), uvm_event.wait_trigger()/uvm_event.wait_ptrigger()

uvm_event.trigger(data), uvm_event.wait_trigger_data(data)/uvm_event.wait_ptrigger_data(data)

其中wait_ptrigger_*就是与SV中wait(event.triggered)一样,为了解决同一个delta_cycle

uvm_active_passive_enum 是 UVM (Universal Verification Methodology) 定义的一个枚举类型,用于区分 UVM 组件(如 agent)的工作模式是主动(active)还是被动(passive)。在 UVM ,agent 组件可以是主动的,也可以是被动的,这取决于其子组件的行为。 - 主动模式(active): 在主动模式下,agent 会生成事务(transactions),并将其发送到被测设备(DUT)。主动 agent 通常包含 sequencer,它负责从 sequencer 获取序列并发送事务到驱动(driver)。 - 被动模式(passive): 被动模式下,agent 不生成事务,而是响应来自其他主动 agent 的事务。被动 agent 不包含 sequencer,而是可能包含监视器(monitor)和检查器(checker),用于观察通信并进行验证。 uvm_active_passive_enum 通常用于 agent 的构造函数,以便在创建 agent 实例时指定其模式。例如: ```verilog class my_agent extends uvm_agent; `uvm_component_utils(my_agent) uvm_active_passive_enum m_mode; // 枚举类型的成员变量 function new(string name, uvm_component parent); super.new(name, parent); endfunction virtual function void build_phase(uvm_phase phase); // 根据 m_mode 的值决定构建主动或被动 agent case(m_mode) UVM_ACTIVE: begin // 构建主动 agent 相关组件 end UVM_PASSIVE: begin // 构建被动 agent 相关组件 end default: `uvm_fatal("MODE", "Invalid agent mode") endcase endfunction endclass ``` 在 UVM 的配置,可以通过 uvm_config_db#() 来设置 agent 的模式。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值