uvm_event 的使用

本文介绍了如何在UVM环境中利用uvm_event进行组件间的同步。示例中,`exm_driver`类创建并触发`frame_event`,而`exm_sequence`类等待该事件触发后执行相应操作。这展示了uvm_event在验证环境中作为同步机制的角色。
摘要由CSDN通过智能技术生成

uvm_event 的使用

uvm_event可以用来在不同的组件里实现同步的作用。

class  exm_driver extends uvm_driver #(data_transaction)
    uvm_event   frame_event
    ...
    function void build_phase(uvm_phase phase)
    	super.build_phase(phase);
    	frame_event = uvm_event_pool::get_global("frame_event");
    endfunction
    
    task  main_phase(uvm_phase phase);
       ...
      frame_event.trigger();
      ...
    endtask
endclass


class exm_sequence extends uvm_sequence #(data_transaction)
uvm_event   frame_event

virtual task body();
	frame_event = uvm_event_pool::get_global("frame_event");
	...
	frame_event.wait_trigger();
	...
endtask

endclass
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值