帧同步的思想与异步FIFO复位

02基于FDMA三缓存构架_哔哩哔哩_bilibili

        图像从外部传输进来的时候,会产生若干延迟,可能会出现各种各样的问题(断帧等),此时可以通过VS信号清空FIFO进行复位。

        这个过程中的复位信号可能需要拓展,这是因为异步FIFO的复位可能需要多个周期的复位使能,否则会带来BUG,这一点请参考UG057和以下文档。

Xilinx FIFO Generator 需要注意RST复位-CSDN博客

1. 有效复位必须在wr_clk和rd_clk有效之后;
2. 有效复位至少要维持慢时钟的8个周期;
3. 复位操作过后,建议要等待至少60个慢时钟周期,再去使用FIFO进行读写操作;
 

        由此可见,如果帧同步信号来临后(使用其上升沿复位),数据很快到来,我们需要延迟到来的data和de,至少延迟到六十个周期左右。

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值