实例化电路模块并互联

本文介绍了Verilog编程中如何实例化电路模块并进行信号互联。通过示例展示了在顶层模块中两次实例化加法器子模块,并将它们的输入输出与顶层模块的端口相连,最后在Quartus环境中查看RTL视图验证连接。
摘要由CSDN通过智能技术生成

实例化电路模块并互联

Verilog代码的重要功能之一是实例化电路模块,并且对这些模块进行布线连接
每个被实例化的Verilog module 就如同某个型号的芯片, 每对该module的一次实例化,就如同使用了一次该芯片。
同样,把各个实例化的module的信号连接起来,就如同在电路元件之间用导线进行互联一样。

以下代码演示了在顶层模块中,把一个加法器实例化了2次。
2个加法器实例对象的输入输出分别与顶层模块的输入输出相连
——————————————————————————————
// 顶层模块
module top(
IN ,
OUT0 ,
OUT1 );
input [4-1:0] IN ;
output[4-1:0] OUT0;
output[4-1:0] OUT1;
wire [4-1:0] W_add0_out;
wire [4-1:0] W_add1_out;

// 第1次实例化, 加法器子模块
add U0_add(
.IN0 (IN ),
.IN1 (4’h1 ),
.OUT (W_add0_out));
// 第2次实例化, 加法器子模块
add U1_add(
.IN0 (IN ),
.IN1 (4’h2 ),
.OUT (W_add1_out));

// 端口接线互联
assign OUT0 = W_add0_out;
assign OUT1 = W_add1_out;
endmodule

// 加法器子模块
module add(
IN0 ,
IN1 ,
OUT );
input [4-1:0] IN0;
input

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值