基于FPGA的误码检测

该博客介绍了基于FPGA的误码检测系统设计,包括锁相环、M序列生成、数据接口、模拟信道、本地序列生成、同步和误码统计等模块。通过模拟信道插入误码并进行误码统计,最终在数码管上显示误码数量。
摘要由CSDN通过智能技术生成

基本原理
主要分为以下几个部分:
(1)锁相环
(2)M序列生成模块
(3)数据接口模块
(4)模拟信道模块
(5)本地M序列生成模块
(6)同步模块
(7)误码统计模块

在这里插入图片描述
锁相环
主要产生系统时钟信号和输出同步时钟信号。
采用Altera自带的IP模块
M序列生成模块
伪随机信号发生器又叫PN序列发生器或者是m序列发生器。m序列是一种线性反馈寄存器序列,m序列的产生可以利用r级寄存器产生长度为2^r-1的m序列,该实验中采用3级寄存器产生7序列发生器。其原理框图如下:(实验中反馈的信号采用异或进行反馈)

数据接口模块
由于没有实际信道,所以收发模块预留,这里进行了人为地插入误码,并将此误码作为模拟实际的信道。

模拟信道模块
误码插入模块,采用的简单的方法进行插入。
根据输入的M序列和序列的位置,对某些想要插入的位置的M序列进行取反操作,然后进行插入。
本地

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯作者

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值