【 FPGA 】设置输入延迟(input delay)

如下,经典的时序分析模型:

 

不同的路径使用不同的约束:

 

上游芯片到FPGA内部第一级触发器的路径使用set_input_delay来约束;

FGPA内部的触发器之间使用create_clock来约束;

FPGA末级触发器到下游芯片的时序元件之间用set_output_delay来约束;

最后一个路径是纯粹的组合逻辑用set_max_delay来设置约束。

Input Delay

由上图可以看出Input Delay是以上游芯片的时钟发送沿为参考,发送数据到达FPGA的外部端口之间的延迟。

输入延迟(input delay)包括Tco和Trace Delay(板间延迟),其中Trace delay又叫Board delay。

评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

李锐博恩

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值