verilog中的always与initial

 # 一、前沿:在学习verilog中,写运行代码时,我们最常用的就是always;

                            写测试激励文件代码,我们最常用的就是initial。 

这也是二者的最最常用的功能之一。  

# 二、区别:他们两人最大的区别就是——运行次数:initial只能运行一次,而always可以运行很多次,只要有敏感事件表中的事件发生(时钟信号,边沿触发,电平触发等)它就可以运行。

   (正因如此,因此也产生了相关的问题:

       1. 没有终止的信号,使他它永远进行下去;

       2. 产生锁存器:即不论新变化信号来与否,新信号是否变化,其运行姐结构不变。)

# 三、always:

9d145fb66f4e4de9b38505277c06b57a.png

 # 四、initial

0014ba593fbf499cacc60df064adbc1d.png

 

  • 6
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值