UVM相对SV验证环境的优势

前言

UVM做的事情就是给提高验证环境的复用性,那么相对SV,UVM 为什么提高了复用性?一下几点将回答这个问题。
总结为以下几点:
1.各个模块的验证环境是独立封装的,对外不需要保留数据端口,因此便于环境的进一步集成复用。
这也就是说每个模块单元都具有自闭性,自闭性是指单元组件(如uvm_agent 或者uvm_env)自身可以成为独立行为、不依赖于其他并行的组件。单元自闭性为日后的组件复用提供了良好的基础。各个子环境可以独立集成于顶层环境,互相也不需要额外的通信连接,各自划分“小世界”。
而在SV中则不行,例如例化driver就需要generator提供帮助,否则编译报错。


2.由于UVM自身的phase机制,在顶层协调各个子环境时,无需考虑由于子环境之间的例化顺序而导致对象句柄引用悬空的问题
环境框架的创建就是依赖于回归创建。通过这种方式,上一级的组件在例化自身之后,会执行各个phase阶段,通过build_phase可以进一步创建子组件,而这些子组件也通过一样的过程去创建下一级组件。回归创建的实现依赖于自顶向下执行的 build_phase 。通过build_phase这种结构化创建,可以保证父组件必先于子组件创建,而创建过程包括以下步骤:

  • 在定义成员变量时赋予默认值,或者在new( ) 函数中赋予初值
  • 结构配置变量用来决定组件的条件生成,如agent依靠is active 判断是否需要例化sequencer和driver
  • 模式配置变量用来决定各个子组件的工作模式
  • 子组件按照自顶向下、从前到后的顺序依次生成

3.由于子环境的测试序列是相对独立的,这使得顶层在复用子环境测试序列而构成virtual sequence 时,不需要额外的迁移成本
在完成整个环境创建以后,各个组件会通过通信端口的连接进行数据通信(TLM通信)


4.UVM提供config_db配置方式,使得整体环境的结构和运行模式都可以从树状的config中获取,这也使得顶层环境可以在不同uvm_test 进行集中管理

  • 8
    点赞
  • 53
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小小verifier

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值