【System Verilog and UVM基础入门14】uvm_config_db()

UVM has an internal database table in which we can store values under a given name and can be retrieved later by someother testbench component. Theuvm_config_db class provides a convenience interface on top of theuvm_resource_db to simplify the basic interface used for uvm_component instances.Note that all the functions are staticand must be called using the : :scope operator.


Such a configuration database allows us to store different configuration settings under different names that could potentiallyconigure testbench components when required without modifying the actual testbench code. For example, to turn onfunctional coverage for an agent,we would simply have to give the path to that agent and set a variable within theconfiguration database to value 1.The agent could check for the value under this variable and start collecting coverage if it is turned on.

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值