【System Verilog and UVM基础入门18】driver and sequencer handshake

How does a sequencer communicate with the driver ?  
 

The driver class contains a TLM port calleduvm_seg_item_pull_portwhich is connected to auvm_seq_item_pull_exportin the sequencer in the connect phase of a UVM agent. The driver can use TLM functions toget the next item from the sequencer when required. 

How is a driver connected to a sequencer ?  

The port in uvm_driveris connected to the export in(uvm_sequencer in the connectphase of the UVM componentin which both the driver and sequencer are instantiated. Typically, a driver and sequencer are instantiated in a uvm_agent . 

The conn

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值