自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(19)
  • 收藏
  • 关注

原创 Verilog练习:HDLBits笔记19

五、Reading SimulationsWriting Testbenches1、ClockProblem Statement:You are provided a module with the following declaration:module dut ( input clk ) ;Write a testbench that creates one instance of moduledut(with any instance name), and create ..

2021-12-01 16:53:09 1046 1

原创 Verilog练习:HDLBits笔记18

五、Reading SimulationsBuild a circuit from a simulation1、Combinational circuit 1Problem Statement:This is a combinational circuit. Read the simulation waveforms to determine what the circuit does, then implement it.module top_module ( inp

2021-12-01 13:00:50 572 1

原创 Verilog练习:HDLBits笔记17

五、Reading SimulationsFinding bugs in codes1、MUXProblem Statement:This 8-bit wide 2-to-1 multiplexer doesn't work. Fix the bug(s).//Source Codemodule top_module ( input sel, input [7:0] a, input [7:0] b, output out ); ass

2021-11-30 11:20:23 500

原创 Verilog练习:HDLBits笔记16

四、Sequential LogicBuilding Larger Circuits1、Counter with period 1000Problem Statement:Build a counter that counts from 0 to 999, inclusive, with a period of 1000 cycles. The reset input is synchronous, and should reset the counter to 0.mod..

2021-11-29 14:55:45 855 1

原创 Verilog练习:HDLBits笔记15

四、Sequential LogicFinite State Machines1、Simple FSM 1(asynchronous reset)Problem Statement:This is a Moore state machine with two states, one input, and one output. Implement this state machine. Notice that the reset state is B.module top_m.

2021-11-28 21:11:47 2300 1

原创 Verilog练习:HDLBits笔记14

四、Sequential LogicMore Circuits1、Rule 90Problem Statement:In this circuit, create a 512-cell system (q[511:0]), and advance by one time step each clock cycle. Theloadinput indicates the state of the system should be loaded withdata[511:0]. Ass...

2021-11-14 21:33:49 865

原创 Verilog练习:HDLBits笔记13

四、Sequential LogicShift Registers1、4-bit shift registerProblem Statement:Build a 4-bit shift register (right shift), with asynchronous reset, synchronous load, and enable.areset: Resets shift register to zero. load: Loads shift register with.

2021-11-13 20:15:51 650 2

原创 Verilog练习:HDLBits笔记12

四、Sequential LogicCounters1、Four-bit binary counterProblem Statement:Build a 4-bit binary counter that counts from 0 through 15, inclusive, with a period of 16. The reset input is synchronous, and should reset the counter to 0.

2021-11-13 13:23:30 722

原创 Verilog练习:HDLBits笔记11

四、Sequential LogicLatches and Flip-Flops1、D flip-flopProblem Statement:Create a single D flip-flop.module top_module ( input clk, input d, output reg q ); always@(posedge clk)begin q = d; endendmodule2..

2021-11-09 12:47:55 624

原创 Verilog练习:HDLBits笔记10

三、CircuitsCombinational logic-Karnaugh Map to Circuit1、3-variableProblem Statement:mplement the circuit described by the Karnaugh map below.module top_module( input a, input b, input c, output out ); assign out = a | b

2021-11-08 21:35:23 337

原创 Verilog练习:HDLBits笔记9

三、CircuitsCombinational logic-Arithmetic Circuits1、Half adderProblem Statement:Create a half adder. A half adder adds two bits (with no carry-in) and produces a sum and carry-out.module top_module( input a, b, output cout, sum );

2021-11-07 17:50:29 425

原创 Verilog练习:HDLBits笔记8

三、CircuitsCombinational logic-Multiplexers1、2-to-1 MultiplexerProblem Statement:Create a one-bit wide, 2-to-1 multiplexer. When sel=0, choose a. When sel=1, choose b.module top_module( input a, b, sel, output out ); always@(*)beg

2021-11-07 15:49:16 207

原创 Verilog练习:HDLBits笔记7

三、CircuitsCombinational logic-Basic Gates1、WireProblem Statement:mplement the following circuit:module top_module ( input in, output out); assign out = in;endmodule2、GNDProblem Statement:Implement the following circ.

2021-11-07 15:16:17 551

原创 Verilog练习:HDLBits笔记6

二、Verilog LanguageMore Verilog Features1、Conditional ternary operatorProblem Statement:Given four unsigned numbers, find the minimum. Unsigned numbers can be compared with standard comparison operators (a < b). Use the conditional operator to m

2021-11-03 18:34:20 410 1

原创 Verilog练习:HDLBits笔记5

二、Verilog LanguageProcedures1、Always blocks(Combinational)Problem Statement:

2021-11-02 21:11:31 300 1

原创 Verilog练习:HDLBits笔记4

二、Verilog LanguageModules:Hierarchy1、ModulesProblem Statement:The figure below shows a very simple circuit with a sub-module.In this exercise, create one instanceof module mod_a then connect the module's three pins (in1,in2,and out) to your top-le

2021-11-01 20:21:28 587 1

原创 Verilog练习:HDLBits笔记3

二、Verilog LanguageVectors1、VectorsProblem Statement:Build a circuit that has one 3-bit input, then outputs the same vector, and also splits it into three separate 1-bit outputs. Connect output o0 to the input vector's position 0,o1 to position 1,.

2021-10-30 17:26:22 424

原创 Verilog练习:HDLBits笔记2

二、Verilog LanguageBasics1、Simple wireProblem Statement:Create a module with one input and one output that behaves like a wire.module top_module( input in, output out );assign out = in;endmodule2、four wiresProblem Statement:

2021-10-29 21:28:00 196

原创 Verilog练习:HDLBits笔记1

一、Getting Started1、Getting StartedProblem Statement:Build a circuit with no inputs and one output. That output should always drive 1 (or logic high).module top_module( output one );// Insert your code here assign one = 1'd1;endmodule.

2021-10-29 12:39:31 143

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除