[SystemVerilog验证]第4章:连接设计和测试平台

重要知识点:

  1. 接口中使用时钟块来指定同步信号相对于时钟的时序。时钟块中的任何信号都将同步地驱动和采样。例如:
    //声明 cb,每个clocking在声明时都需要确定name和一个时钟表达式
clocking cb @(posedge clk); 
  output a;
  input b;
endclocking
  1. interfce中不能使用always块,因为不会自动结束,但是可以使用intial forever代替;
  2. 立即断言:()里的条件为真,则继续执行下面的代码,否则,仿真器报错;
a1: assert (a==1'b1);
  1. 并发断言:需要在断言中定义一个采样时钟,并且加一个property块;
property p1;
  @posedge (clk) ;
  a == 1'b1;  
endproperty

a2: assert property(p1) 
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值