EMIF

External Memory Interface 外部存储器接口

TMS DSP器件上的一中接口,具体分为EMIFA和EMIFB

EMIF可实现DSP与不同类型存储器(SRAM、Flash RAM、DDR-RAM等)的连接。

一般,EMIF与FPGA相连,从而使FPGA平台充当一个协同处理器、高速数据处理器、高速数据传输接口。

设计接口提供了一个FPGA块至RAM的无缝连接。

在读/写、FIFO、存储器模式中,双端口RAM的一侧被用来实现与DSP的通信,另一侧被用来实现与内部FPGA逻辑电路或平台-FPGA嵌入式处理器的通信。

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值