TCL总结(ug835 ug894)

一.概述

总结下平常用到的TCL方式,TCL的使用可以分为非工程模式下的开发流程,工程模式下的开发流程,以及一些在TCL控制台用到的命令。

二.非工程模式下TCL开发流程

利用vivado自带的tcl shell窗来进行项目的开发,在vivado安装路径的bin文件夹下。

然后逐句执行以下脚本命令:

在执行之前可以使用pwd查看当前路径,通过cd切换路径。

set output ./Out
file mkdir $output

执行以上语句,新建一个工程输出的文件夹。

add_files [glob $output/*.v] #glob扫描当前文件夹的所有.v文件
add_files -fileset constrs_1 $output/led.xdc#仿真和约束文件需要加到对应的文件集中

执行以上语句,导入RTL代码和XDC约束。

synth_design -top flow_led -part xc7a35tfgg484-2
write_checkpoint -force $output/post_synth //force #写入时覆盖该文件

执行以上语句,输出dcp文件。

report_timing_summary -file $output/synth_timing_summary.rpt
report_power -file $output/synth_power.rpt

执行以上语句,输出综合后的时序报告和功耗报告。

opt_design  #对设计进行优化
power_opt_design #对功耗进行优化
place_design #对设计进行布局
phys_opt_design #对布局后的设计进行逻辑物理优化
write_checkpoint -force $output/post_place
report_timing_summary -file $output/post_place_timing_summary.rpt
route_design
write_checkpoint -force $output/post_route
report_timing_summary -file $output/post_route_timing_summary.rpt
report_timing -sort_by group -max_paths 100 -file $output/post_route_timing.rpt
report_drc -file $output/post_impl_drc.rpt
write_bitstream -force $output/flow_led.bit

-sort_by 按时序裕量排序或者按时钟组排序,默认按时序裕量排序

结果:输出文件夹中会产生如下文件

三.工程模式下TCL开发流程

在GUI界面的左侧导航窗口中,setting里面,综合-优化-布局-布线的前后都可以载入tcl脚本。

四.常用TCL命令和知识点

  1. 常用的查看时序报告、功耗报告、时钟网络、利用率、qor报告、保存的ila图

  1. write_debug_probes(itx文件 很有用)

  1. 时序约束时:常用命令 get_pins get_nets get_cells get_ports -of_objects

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
回答: "ug835"是指Xilinx Vivado Design Suite User Guide: Logic Simulation (UG835)。这是一本关于逻辑仿真的用户指南,提供了关于使用Xilinx Vivado进行逻辑仿真的详细信息。在FPGA设计中,逻辑仿真是一种验证设计功能和正确性的重要方法。通过使用Vivado提供的逻辑仿真工具,可以对设计进行仿真和调试,以确保其在实际硬件中的正确运行。\[1\]在FPGA设计中,TCL(Tool Command Language)也扮演着重要的角色。TCL是一种脚本语言,可以用于自动化和批处理FPGA设计流程。它可以与Vivado等EDA工具集成,提供了丰富的命令和函数来控制和管理设计过程。通过使用TCL,可以更高效地进行FPGA设计和开发。\[1\]在FPGA设计中,Port是对象边界上的一个连接,用于将内部条目连接到对象的外部。在顶层网表或设计中,Port通常连接到FPGA的引脚,并在系统级设计中连接到外部设备。在层次单元、模块或实体内部,Port表示为层次单元上的Pin。\[2\]此外,TCL还提供了强大的对象查询功能,可以根据附加到对象的任何属性值筛选查询。例如,可以使用get_cells命令查询具有特定原始类型的所有单元格。\[3\] #### 引用[.reference_title] - *1* [​FPGA便捷开发-TCL商店(开源)](https://blog.csdn.net/HackEle/article/details/124938516)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [【UG835】1 Vivado中Tcl 对象及其关系](https://blog.csdn.net/lum250/article/details/120453714)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值