20210324 Verilog学习笔记:结构型建模理解,以及与行为级建模的仿真比较

本文介绍了硬件电路的结构描述方式,即结构级建模,通过全加器电路的例子展示了如何从行为级建模过渡到结构级建模。结构级建模将电路分解为分级子模块,使高层电路结构更清晰。实验中,首先使用行为级建模通过真值表和卡诺图建立全加器的逻辑方程,然后采用结构级建模,利用半加器模块构建全加器,展示了一种自底向上的设计方法。
摘要由CSDN通过智能技术生成

课本概念

结构描述方式(结构级建模)就是将硬件描述成一个分级子模块系统(分级子模块互连网络)的一种描述方式。任何硬件电路在结构上都是由一级级不同层次的若干功能单元组成的,所以结构描述方式很适合用来对电路结构特点进行说明,也是“结构描述方式”的由来。
以全加器电路为例:(数电中我们知道全加器是由两个半加器合并而来的)

实验一:行为级建模

组合电路的建模,先列真值表,后卡诺图化简,写出输出方程。(数电详细内容不再赘述)

module fulldaaer_behavior(ci, a, b, c, s);
    input ci, a, b;
    output c, s;
    reg temp0, temp1, temp2;
    reg c, s;
    always @(ci, a, b)
        begin
            temp0 = a^b;
            temp1 = temp0 & ci;
            temp2 = a & b;
            c = temp1 | temp2;
            s = temp0 ^ ci;
        end
endmodule

在这里插入图片描述

实验二:结构级建模

module halfadder(a, b, c, s);
    input a, b;
    output c, s;
    reg c, s;
    always @(a, b)
        begin
            c = a & b;
            s = a ^ b;
        end
endmodule
module fulladder(ci, a, b, c, s);
    input ci, a, b;
    output c, s;
    wire w1, w2, w3;
    halfadder u1(a, b, w1, w2);
    halfadder u2(ci, w2, w3, s);
    or (c, w1, w3);
endmodule

在这里插入图片描述
由于分级的硬件电路,从而让高层电路结构更加明晰。

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值