Modelsim的仿真

1.实验目的:
Modelsim的仿真

2.实验内容:
参照哔哩哔哩中的教程代码,进行Modelsim仿真

3.实验原理:
根据书上的代码和老师的教学步骤进行仿真

4.实验工具:
quartus ii 与modlsim软件

5.实验代码
module add4(S,COUT,CIN,X,Y);
output COUT;
output [3:0] S;
input CIN;
input [3:0]X,Y;
reg [3:0] S;
reg COUT;
always @(X ,Y, CIN)
{COUT,S}=X+Y+CIN;
endmodule
module tb_41;
wire COUT;
wire [3:0] S;
reg CIN;
reg [3:0]X,Y;
initial
begin
X=4’b0000;Y=4’b0000;CIN=1;
#10 X=4’b0000;Y=4’b1110;CIN=1;
#10 X=4’b0101;Y=4’b1010;CIN=1;
#10 X=4’b0000;Y=4’b0000;CIN=0;
#10 X=4’b0000;Y=4’b1110;CIN=0;
#10 X=4’b0101;Y=4’b1010;CIN=0;
#10 $stop;
end
add4 my_add4(S,COUT,CIN,X,Y);
endmodule

6.实验截图
在这里插入图片描述
在这里插入图片描述
7.实验视频:
请下载哔哩哔哩动画打开此网址:https://b23.tv/1IYqv8
————————————————
版权声明:本文为CSDN博主「诺贝尔可爱奖·」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/m0_58002216/article/details/116499788

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值