Xilinx FIFO的使用

使用vivado生成FIFO IP核。

FIFO常用于:跨时钟域操作和数据位宽转换。例如:两个独立的时钟域,独立的数据位宽,可以利用一个FIFO进行连接。

spartan7   的资源情况

block RAM是FPGA中定制的ram资源,而distribute RAM则是由LUT构成的RAM资源。当FIFO较大时应选择block RAM,当FIFO较小时,选择distribute RAM.另外一个很重要的就是block RAM支持读写不同宽度,而distribute不支持。

FIFO IP核有Standard FIFO和First-word-Fall-Through两种模式;

FWFT(First-word-Fall-Through)可以不需要读命令,自动的将最新数据放在dout上,可以降低延迟。

re_data_count[8:0]:这个值表明FIFO中可以读取的数据的数目,在读操作的时候,通过对这个数据进行判断,可以保证当FIFO中没有数据的时候,停止读操作。当发生一次读操作时,会在下一个时钟的上升沿对 re_data_count 进行改变。即写入一个数据的时候rd_data_count+1,当读出一个数据的时候,rd_data_count-1。re_data_count的位宽随深度变化。

注意rd_data_count 的值在 一个rd_clk & re_en 时钟后才会有效,包括full  ,empty信号也是。

 

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值