vivado 设置 ILA 核以执行测量

设置 ILA 核以执行测量
您添加到自己的设计中的 ILA 核会显示在“硬件 (Hardware) ”窗口中的目标器件下。如果未显示这些 ILA 请右键
单击器件并选择“ Refresh Device ”。这样将重新扫描 FPGA ACAP 并刷新“ Hardware ”窗口。
注释 如果编程和 / 或刷新 FPGA ACAP 后仍未显示 ILA 请检查并确保已使用正确的 .bit 文件完成器件编程
并确认已实现的设计包含 ILA 核。此外 还请检查并确认有相应的 .ltx 探针文件 .bit 文件相匹配 与该器件关
联。
单击 ILA 下图中名为 hw_ila_1 的核 ), 以在“ ILA 核属性 (VIO Core Properties) ”窗口中查看其属性。您可使用
Windows ” → “ Debug Probes ”菜单选项来显示如下所示“调试探针 (Debug Probes) ”窗口 以便查看对应于 ILA
核的所有探针。
添加探针
您可通过单击窗口工具栏或工作空间上的“ + ”按钮来将相关探针添加到 ILA 仪表板中的特定窗口。
写入调试探针信息
“调试探针 (Debug Probes) ”窗口包含有关您在自己的设计中使用 ILA / VIO 核探测的信号线的信息。此调试探针
信息提取自您的设计 并存储在数据文件内 此数据文件通常带有 .ltx 文件扩展名。
通常 此调试探针文件是在实现流程中自动创建的。但是 您也可以使用 write_debug_probes Tcl 命令来将调试探
针信息写出至文件
1. 打开已综合的设计或网表设计。
2. 运行 write_debug_probes 文件名 .ltx Tcl 命令。
重要提示 如果使用非工程模式 则必须在执行 opt_design 命令后立即手动调用
write_debug_probes 命令。
读取调试探针信息
如果 Vivado IDE 处于工程模式下 并且在与器件关联的比特流编程 ( .bit ) 文件所在目录中找到名为
debug_nets.ltx 的探针文件 那么调试探针文件将与硬件器件自动关联。
您还可以指定探针文件的位置
1. 在“硬件 (Hardware) ”窗口中选择硬件器件。
2. 在“硬件器件属性 (Hardware Device Properties) ”窗口中设置探针文件位置。
3. 在“ Hardware ”窗口中 右键单击硬件器件并选择“ Refresh Device ”以读取调试探针文件内容 将其与硬件器件
中运行的设计中所提供的调试核加以关联并验证信息。
您还可使用以下 Tcl 命令来设置位置 以将名为 C:\myprobes.ltx 的调试探针文件与目标开发板上的首个器件进行
关联。
% set_property PROBES.FILE {C:/myprobes.ltx} [lindex [get_hw_devices] 0]
% refresh_hw_device [lindex [get_hw_devices] 0]
重命名调试探针
您可使用“调试探针 (Debug Probes) ”窗口来重命名属于 ILA VIO 核的调试探针。您可重命名调试探针 并将其添
加到对应核的现有波形查看器中 或者可将其添加到 ILA 仪表板的各触发和 / 或采集窗口中。这些名称可以是与调试探
针关联的定制、长或短名称。
要执行这些操作 请右键单击 ILA/VIO 核的调试探针 并选择以下项之一
• “ Rename 提示您将探针重命名为定制名称。
• “ Name 允许您为调试探针选择长、短或定制名称。 Vivado IDE 窗口中后续引用的调试探针都将使用您所选的
名称。
Long 显示所探测的信号或总线的完整层级名称。
Short 显示所探测的信号或总线的名称。
Custom 显示重命名时给予信号或总线的定制名称。
使用多个比较器
如果您已将探针和 / ILA 调试核自定义为在“基本 (Basic) ”或“高级 (Advanced) ”模式下使用多个比较器 则可在
“基本触发器设置 (Basic Trigger Setup) ”和“高级触发器设置 (Advanced Trigger Setup) ”窗口中使用这些比较器。
您可将探针添加到“ Basic Trigger Setup ”窗口中 并设置触发条件。“比较器使用情况 (Comparator Usage ”列可
提供有关探针内根据特定比较条件所用的比较器 超出此探针关联的比较器总数范围 的信息。
提示 Comparator Usage ”列为隐藏列。要启用此列 请右键单击“ Trigger Setup ”列标题行 如下所示 ),
然后单击“ Comparator Usage ”。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值