vivado USE_DSP

USE_DSP属性指示Vivado设计套件合成数学模块
转换为目标设备上的DSP块。
提示:USE_DSP48已弃用,应替换为USE_DSP。
默认情况下,乘数(mults)、mult-add、mult-sub、mult-accumulate类型的结构为
分配到DSP块中。然而,加法器、减法器和累加器也可以进入
DSP块,但默认情况下是用逻辑实现的。USE_DSP属性
覆盖默认行为,并使用DSP定义这些结构。
DSP还可以用于实现数学之外的许多其他逻辑功能,例如
作为计数器、多路复用器和移位寄存器。然而,对于复杂的模块,例如
多路复用器,您需要手动实例化DSP。
此属性可以作为信号的属性放置在RTL中,例如:

(* use_dsp = "yes" *) module test(clk, in1, in2, out1);
您可以将USE_DSP应用于RTL源代码中的模块,但它仅适用于它
在上指定。您还可以将其作为XDC约束应用于设计中的分层单元格。
架构支持
所有设备。
适用对象
此属性可以放置在信号、架构和组件、实体的RTL中
以及模块。优先级如下:
1.信号
2.架构和组件
3.模块和实体
价值观
•是:使用DSP块实现数学函数。
•否:不要更改Vivado合成的默认行为。
•逻辑:仅适用于UltraScale架构。使用DSP块实现大/宽
XOR函数。
Syntax
Verilog Syntax
(* use_dsp = "yes" *) module test(clk, in1, in2, out1);
VHDL Syntax
attribute use_dsp : string;
attribute use_dsp of P_reg : signal is "no"
XDC Syntax
set_property use_dsp yes [get_cells -hier ….]
  • 16
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值