vivado USED_IN

USED_IN属性被分配给Vivado design中的设计文件(.vh、.vhd、.xdc、.tcl)
套件,用于指示文件在FPGA设计流程中的哪个阶段使用。
例如,您可以使用USED_IN属性指定XDC文件供
Vivado合成工具,但不用于实现。您还可以指定HDL源
文件(.vh或.vhd)作为USED_IN模拟,但不用于合成。
提示:USED_IN_SYNTHESIS、USED_IN_SIMULATION和USED_IN_ IMPLEMENTATION属性是
与USED_IN属性相关并且由工具自动转换为USED_IN({合成,
仿真、实现}。
您还可以使用更细粒度的值将非托管Tcl文件指定为USED_IN
opt_design或place_design,而不是简单地在实现中使用。
架构支持
所有架构。
适用对象
•文件

Values
• synthesis
• synthesis_post
• implementation
• simulation
• out_of_context
• opt_design
• opt_design_post
• power_opt_design
• power_opt_design_post
• place_design
• place_design_post
• phys_opt_design
• phys_opt_design_post
• route_design
• route_design_post
• write_bitstream
• write_bitstream_post
• synth_blackbox_stub
• testbench
• board
• single_language
• power_data
Syntax
Verilog and VHDL Syntax
Not applicable
XDC Syntax
set_property USED_IN { <value> } [get_files <files> ]
Where
<value> specifies one or more of the valid USED_IN values.
<files> is the name or names of the files to set the USED_IN property.
XDC Syntax Example
# Designates the specified files as used in simulation
set_property USED_IN {synthesis simulation} [get_files *.vhdl]
  • 11
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值