【FPGA教程案例34】通信案例4——基于FPGA的QPSK调制信号产生,通过matlab测试其星座图

117 篇文章 364 订阅 ¥299.90 ¥399.90

FPGA教程目录

MATLAB教程目录

---------------------------------------------------------------------------------------

本课程成果预览(将FPGA仿真结果导入MATLAB显示星座图)

 

目录

1.软件版本

2.QPSK调制原理

3.QPSK调制过程的FPGA实现

3.1NOC核配置得到载波输出信号

3.2FIR核配置得到成型滤波器

3.3Verilog程序

3.4testbench程序 

4.操作步骤与仿真结论 


1.软件版本

vivado2019.2

2.QPSK调制原理

        正交相移键控(Quadrature Phase Shift Keying,QPSK)也称为四进制相移键控,是多相相移键控(MPSK)中常用的一种,它是利用载波四个不同的相位来表征数字信息的调制方式。和BPSK类似,其数学表达式可以表示为:

  • 4
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值