自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

FPGA/MATLAB学习教程/源码/项目合作开发

1.无线基带,无线图传,编解码 2.机器视觉,图像处理,三维重建 3.人工智能,深度学习 4.智能控制,智能优化等MATLAB仿真和FPGA实现

  • 博客(1)
  • 资源 (2604)
  • 收藏
  • 关注

原创 基于遗传算法的车间布局优化

function [BESTX,BESTY,ALLX,ALLY]=GAUCP2(K,N,Pm,LB,UB,PL,PW,PLi,PWi,PP,PF,PQ,PV,PminDX,PminDY,Pkc,Pkt,PLambda,PK)%% 此函数实现遗传算法,用于车间布局优化%% 输入参数列表% K 迭代次数% N 种群规模,要求是偶数% Pm 变...

2019-12-11 18:44:14 7335 6

基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真,包括程序,程序中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,程序中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:双目图像三维重建 4.仿真效果:仿真效果可以参考博客同名文章《基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真》 5.内容:基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真。Shi-Tomasi角点检测算法是一种用于在图像中识别具有独特性的点的算法,这些点通常称为“角点”或者“特征点”。角点是指那些在不同方向上都有显著变化的图像区域中的点。Shi-Tomasi算法基于这样的假设:如果一个点在多个方向上都有较大的梯度变化,则该点很可能是一个好的角点。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-17

基于FPGA的超高阶16384QAM星座点映射verilog实现,包含verilog程序,testbench,matlab星座图

1.版本:matlab2022A,vivado2019.2。 2.包含:verilog程序,testbench,matlab星座图,仿真操作步骤(使用windows media player播放)。 3.领域:超高阶16384QAM 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的超高阶16384QAM星座点映射verilog实现》 5.内容:基于FPGA的超高阶16384QAM星座点映射verilog实现。16384-QAM意味着每个调制符号可以携带log₂(16384) = 14比特的信息。为了实现如此高的信息承载能力,需要设计一个足够大的星座图,使得星座点之间的最小欧氏距离尽可能大。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。vivado工程必须英文路径

2024-09-12

雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号,包括程序,程序功能介绍,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,程序功能介绍,仿真操作步骤(使用windows media player播放)。 3.领域:雷达信号 4.仿真效果:仿真效果可以参考博客同名文章《雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号》 5.内容:雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号。对于线性调频信号,分析了线性调频信号的各类特性,线性调频脉冲压缩信号具有实现简单,但旁瓣电平高等特点。对于非线性调频信号,主要在大时宽带宽积的条件下,选择不同的窗函数设计波形对脉冲压缩后分别仿真其主副瓣比和主瓣宽度。然后采用组合窗法,选择两种或多种合适的窗函数,对其进行线性组合得到新的组合窗调频函数,并采用MATLAB对相关的理论进行了仿真。对于相位编码信号,主要仿真了巴克码。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-08

基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:协作认知无线 4.仿真效果:仿真效果可以参考博客同名文章《基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE》 5.内容:基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE。在协作认知无线电通信系统中,信道估计是一项关键的技术,它直接影响着系统性能,如数据传输速率、误码率以及频谱利用率等。信道估计的主要目的是通过已知的训练序列来估计无线信道的特性,进而用于数据检测、干扰抑制和其他处理任务。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-01

基于SAGE算法的宽带信道参数估计matlab仿真,包括程序,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:SAGE算法 4.仿真效果:仿真效果可以参考博客同名文章《基于SAGE算法的宽带信道参数估计matlab仿真》 5.内容:基于SAGE算法的宽带信道参数估计matlab仿真。SAGE算法是GEM算法的一个扩展,其核心思想是在期望最大化(Expectation-Maximization, EM)算法的基础上交替地对不同的参数组进行优化。在宽带信道参数估计中,SAGE算法可以用来估计信道的冲激响应。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-29

基于ACO蚁群优化算法的城市TSP问题求解matlab仿真,包括程序,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:城市TSP问题求解 4.仿真效果:仿真效果可以参考博客同名文章《基于ACO蚁群优化算法的城市TSP问题求解matlab仿真》 5.内容:基于ACO蚁群优化算法的城市TSP问题求解matlab仿真。蚁群优化(Ant Colony Optimization, ACO)算法是一种启发式搜索算法,它模仿了真实世界中蚂蚁寻找食物的行为。这种算法特别适合用于解决组合优化问题,如旅行商问题(Traveling Salesman Problem, TSP)。TSP问题是这样的:给定一系列城市和它们之间的距离,找到一条路径,使得从一个城市出发访问每个城市恰好一次后回到起点,并且这条路径的总距离最短。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-25

风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真,包括simulink模型,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,仿真操作步骤(使用windows media player播放)。 3.领域:闭环速度控制系统 4.仿真效果:仿真效果可以参考博客同名文章《风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真》 5.内容:风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真。无刷直流电机的闭环速度控制系统在风机负荷中是非常重要的组成部分。通过合理设计和调整PID控制器的参数,可以确保电机在各种工作条件下都能稳定、高效地运行。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-25

常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:数字调制解调系统 4.仿真效果:仿真效果可以参考博客同名文章《常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM》 5.内容:常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM。数字调制技术是现代通信系统的核心组成部分,用于将数字信号转换成适合通过物理介质传输的形式。本程序对比包括BPSK(二进制相移键控)、QPSK(四相相移键控)以及16-QAM(16正交幅度调制)三种调制解调系统的误码率。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-18

三电平SVPWM算法的simulink建模与仿真,包括simulink模型,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,仿真操作步骤(使用windows media player播放)。 3.领域:三电平SVPWM算法 4.仿真效果:仿真效果可以参考博客同名文章《三电平SVPWM算法的simulink建模与仿真》 5.内容:三电平SVPWM算法的simulink建模与仿真。三电平空间矢量脉宽调制(SVPWM)算法通常用于三电平逆变器控制中,它能够有效地提高直流电压利用率和降低输出电压谐波含量。在三电平逆变器中,每个开关臂可以处于三种状态:高电平、低电平和零电平。因此,对于一个相来说,它可以产生7种不同的电压矢量(包括两个零矢量和五个非零矢量)。这些矢量可以组成一个六边形的空间矢量图。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-13

分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:SVM和MLP 4.仿真效果:仿真效果可以参考博客同名文章《分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线》 5.内容:分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线。包括步骤:加载数据,分割数据集,训练SVM模型,训练MLP模型,对测试数据进行预测,计算并绘制ROC曲线,最后进行ROC曲线对比。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-12

基于L2正则化项的正交人脸识别算法matlab仿真,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:人脸识别 4.仿真效果:仿真效果可以参考博客同名文章《基于L2正则化项的正交人脸识别算法matlab仿真》 5.内容:基于L2正则化项的正交人脸识别算法matlab仿真。仿真输出ROC曲线,混淆矩阵。在正交人脸识别中,L2正则化通常被用来优化特征选择过程。比如,在PCA中,我们可以通过添加L2正则化项来控制特征向量的权重,从而得到更稳健的特征表示。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-09

基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:多模型目标跟踪 4.仿真效果:仿真效果可以参考博客同名文章《基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真》 5.内容:基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真。IMM算法是一种递归估计方法,它通过组合多个卡尔曼滤波器来处理动态变化的目标模型。每个滤波器都对应一个不同的运动模型,如常速模型、匀加速模型等。这些滤波器相互作用并共享信息以更新概率权重,从而在各个模型之间进行平滑切换。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-07

基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真,simulink模型,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:双PI和SVPWM 4.仿真效果:仿真效果可以参考博客同名文章《基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真》 5.内容:基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真。基于双PI控制器和SVPWM的PMSM控制系统是一种有效的电机控制策略,能够实现高精度的转矩和速度控制。设计此类系统时需要考虑的因素包括电机参数、控制器参数的选择、电流和速度检测以及SVPWM的实现。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-03

基于CNN卷积神经网络的mnist手写数字库训练matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:CNN+mnist 4.仿真效果:仿真效果可以参考博客同名文章《基于CNN卷积神经网络的mnist手写数字库训练matlab仿真》 5.内容:基于CNN卷积神经网络的mnist手写数字库训练matlab仿真。使用卷积神经网络(Convolutional Neural Network, CNN)对MNIST手写数字数据集进行训练是一个常见的机器学习任务。MNIST数据集包含60,000个训练样本和10,000个测试样本的手写数字灰度图像,每个图像的尺寸为28x28像素。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-03

基于文化优化算法的非线性无约束函数问题求解matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:文化优化算法 4.仿真效果:仿真效果可以参考博客同名文章《基于文化优化算法的非线性无约束函数问题求解matlab仿真》 5.内容:基于文化优化算法的非线性无约束函数问题求解matlab仿真。文化算法(Cultural Algorithm, CA)是一种模仿人类文化的进化计算方法,它通过模拟人类文化中的社会学习过程来解决优化问题。文化算法通常包括两个主要部分:种群空间(Population Space)和信仰空间(Belief Space)。种群空间负责传统的进化计算操作,如选择、交叉、变异等;而信仰空间则用于存储和更新知识,这些知识指导种群空间中个体的行为和进化方向。在非线性无约束函数问题中,文化算法可以有效地探索解空间并找到全局最优解或者接近最优解的解。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-29

基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:交互式多模粒子滤波 4.仿真效果:仿真效果可以参考博客同名文章《基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真》 5.内容:基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真。交互式多模态粒子滤波器(Interactive Multiple Model Particle Filter, IMMPF)是一种结合了交互式多模型滤波(IMM)与粒子滤波(PF)优点的方法,用于解决目标跟踪中的非线性及非高斯问题。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-29

wcdma通信链路matlab误码率仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:wcdma 4.仿真效果:仿真效果可以参考博客同名文章《wcdma通信链路matlab误码率仿真》 5.内容:wcdma通信链路matlab误码率仿真。卷积编码:使用了 poly2trellis 函数来创建一个卷积编码器的trellis结构。 OVSF码:OVSF码用于扩频,即把信号扩展到更宽的频带上。 根升余弦接收滤波器:这种类型的滤波器被用来恢复信号的形状,降低码间干扰 (ISI)。 解扰:解扰过程是将信号与分配给用户的特定扰码相乘。 解扩:解扩过程是将解扰后的信号与OVSF码相乘。 积分:积分步骤是将解扩后的信号按OVSF码的长度进行求和,以便从扩频信号中恢复原始比特。 维特解码:使用维特算法进行卷积编码的解码。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-28

基于simulink的模糊PID控制系统建模与仿真,包括simulink文件,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:simulink文件,参考文献,操作步骤(使用windows media player播放)。 3.领域:模糊PID控制 4.仿真效果:仿真效果可以参考博客同名文章《基于simulink的模糊PID控制系统建模与仿真》 5.内容:基于simulink的模糊PID控制系统建模与仿真。模糊PID控制系统是一种结合了模糊逻辑和传统PID(比例-积分-微分)控制策略的控制方法,旨在提高控制系统的性能,尤其是在面对非线性、时变或不确定性的被控对象时。模糊PID控制器通过引入模糊逻辑系统来动态调整PID控制器的参数(即比例系数Kp、积分时间Ti和微分时间Td),从而实现对控制规则的灵活调整,以达到更好的控制效果。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-20

基于PSO优化的BP神经网络训练与测试matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:PSO优化的BP神经网络 4.仿真效果:仿真效果可以参考博客同名文章《基于PSO优化的BP神经网络训练与测试matlab仿真》 5.内容:基于PSO优化的BP神经网络训练与测试matlab仿真。粒子群优化(Particle Swarm Optimization, PSO)结合BP(Backpropagation)神经网络是一种常见的优化方法,用于提升神经网络的学习能力和泛化能力。PSO不仅帮助BP神经网络找到了一个较好的初始解,从而可能加快了训练过程并提高了最终模型的质量,而且还能探索到更广泛的解空间,有助于避免局部最优解。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-20

基于FPGA的斐波那契数列verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:斐波那契数列 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的斐波那契数列verilog实现,包含testbench》 5.内容:基于FPGA的斐波那契数列verilog实现,包含testbench。基于FPGA实现斐波那契数列可以采用多种方法,本课题采用的是时序逻辑(状态机)来加速计算。这种方法适用于生成较短的斐波那契数列,对于长序列,更高效的设计可能涉及更复杂的并行计算策略。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-07-14

基于ACO蚁群优化算法的机器人路径规划matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:机器人路径规划 4.仿真效果:仿真效果可以参考博客同名文章《基于ACO蚁群优化算法的机器人路径规划matlab仿真》 5.内容:基于ACO蚁群优化算法的机器人路径规划matlab仿真。ACO算法模拟蚂蚁在搜索食物路径时留下信息素(pheromone)的行为。在算法中,信息素作为一种虚拟物质,其浓度反映了路径的质量,浓度越高表示路径越优。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-14

基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真,包括simulink模型,参考文献,操作步骤视频

1.版本:matlab2022A。 2.包含:simulink模型,参考文献,操作步骤视频(使用windows media player播放)。 3.领域:光伏发电 4.仿真效果:仿真效果可以参考博客同名文章《基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真》 5.内容:基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真。基于MPPT(最大功率点跟踪)的光伏发电系统是一种通过实时调节光伏电池的工作点,以确保其始终工作在最大功率输出状态下的技术方案。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-14

基于FPGA的FIFO实现,包含testbench,不使用IP核,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:FIFO 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的FIFO实现,包含testbench,不使用IP核》 5.内容:基于FPGA的FIFO实现,包含testbench,不使用IP核。在FPGA上实现FIFO(First In First Out,先进先出)通常涉及使用双端口RAM(或称为BRAM,Block RAM)作为存储介质,以及控制逻辑来管理读写指针和状态标志(如空、满)。通过testbench模拟FIFO写入,读取的过程。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-07-06

基于遗传算法的多目标优化matlab仿真,输出最优帕累托值,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:遗传算法 4.仿真效果:仿真效果可以参考博客同名文章《基于遗传算法的多目标优化matlab仿真,输出最优帕累托值》 5.内容:基于遗传算法的多目标优化matlab仿真,输出最优帕累托值。基于遗传算法的多目标优化是一种解决具有多个冲突目标函数的优化问题的有效方法。遗传算法(Genetic Algorithm, GA)通过模拟自然选择和遗传学原理,在解空间中搜索问题的最优解。在多目标优化中,目标是找到一组解,这些解在所有目标函数上表现良好,且不存在任何一个目标可以通过牺牲其他目标来进一步改善,这样的解集合被称为帕累托最优解集(Pareto Optimal Set)。帕累托前沿(Pareto Frontier)则是在目标空间中由这些最优解构成的边界。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于周期模式算法的一维信号相位和周期测量matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:一维信号相位和周期测量 4.仿真效果:仿真效果可以参考博客同名文章《基于周期模式算法的一维信号相位和周期测量matlab仿真》 5.内容:基于周期模式算法的一维信号相位和周期测量matlab仿真。基于周期模式算法的一维信号相位和周期测量,是指从具有周期性特征的一维信号中,准确地识别出信号的重复周期(即周期)以及在该周期内的起始相位。这种测量技术广泛应用于图像处理、信号分析、振动监测、光学测量等领域。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于强化学习的倒立摆平衡控制算法matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:强化学习 4.仿真效果:仿真效果可以参考博客同名文章《基于强化学习的倒立摆平衡控制算法matlab仿真》 5.内容:基于强化学习的倒立摆平衡控制算法matlab仿真。基于强化学习(Reinforcement Learning, RL)的倒立摆平衡控制算法是一种利用机器学习方法,特别是强化学习框架,来自动学习如何控制倒立摆系统维持平衡状态的技术。倒立摆问题是一个经典的控制问题,其目标是通过控制底座的移动(如加速度或角度变化)来使一个垂直悬挂的小车或者摆杆维持直立不倒。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于FPGA的AM信号解调verilog实现,包括程序,注释,操作步骤

1.版本:quartusii13.01-64bits,modelsim-altera 6.5d,matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:AM信号解调 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的AM信号解调verilog实现》 5.内容:基于FPGA的AM信号解调verilog实现。基于FPGA的AM(调幅)信号解调在Verilog语言中的实现通常涉及到模拟信号的数字化处理,主要步骤包括采样、同步、包络提取等。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。FPGA工程路径要英文。

2024-06-25

单级柔性机械臂的LQR位置控制matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:LQR控制 4.仿真效果:仿真效果可以参考博客同名文章《单级柔性机械臂的LQR位置控制matlab仿真》 5.内容:单级柔性机械臂的LQR位置控制matlab仿真。单级柔性机械臂的LQR(Linear Quadratic Regulator)位置控制是一种利用线性二次型最优控制理论来设计控制器,以实现机械臂末端或某环节位置的精确跟踪和稳定控制的方法。柔性机械臂相较于刚性机械臂,由于存在弹性变形,其动态特性更加复杂,因此在进行位置控制时需要考虑其动力学模型中的弹性因素。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:栅格地图避障路线规划 4.仿真效果:仿真效果可以参考博客同名文章《基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真》 5.内容:基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真。基于Dijkstra's最短路径算法的栅格地图避障路线规划是一种常见的路径规划方法,适用于机器人导航、游戏AI等领域。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于非主导排序遗传算法的多目标优化matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:非主导排序遗传算法 4.仿真效果:仿真效果可以参考博客同名文章《基于非主导排序遗传算法的多目标优化matlab仿真》 5.内容:基于非主导排序遗传算法的多目标优化matlab仿真。非主导排序遗传算法(Non-Dominated Sorting Genetic Algorithm, NSGA)是一种广泛应用于解决多目标优化问题的启发式搜索方法。其核心思想是在每一代种群中识别并保留那些非支配解,即不存在其他解在所有目标函数上同时优于它,同时尽量保持这些解的分布多样性。NSGA经过迭代进化,旨在找到逼近真实Pareto前沿(即不可能同时改善所有目标而不损害至少一个目标的解集合)的解集。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:正弦信号产生器 4.仿真效果:仿真效果可以参考博客同名文章《基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench》 5.内容:基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench。查表法的基本思想是预先计算出一个周期内正弦波的离散点值,并将这些值存储在一个查找表(LUT)中。FPGA中的LUT本质上是一种小型的ROM,可以根据输入地址迅速返回预设的数据值。对于正弦波生成,这个地址通常是根据输入的角度或者时钟计数来决定的。ROM模块使用verilog编程实现,因此可以移植到quartusii平台 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-19

基于simulink的SEDC励磁阻尼控制系统建模与仿真,包括simulink模型,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,注释,参考文献,操作步骤(使用windows media player播放)。 3.领域:励磁阻尼控制 4.仿真效果:仿真效果可以参考博客同名文章《基于simulink的SEDC励磁阻尼控制系统建模与仿真》 5.内容:基于simulink的SEDC励磁阻尼控制系统建模与仿真。SEDC,即Supplementary Excitation Damping Controller,补充励磁阻尼控制器,是一种专门设计用于电力系统中同步发电机的控制系统。它的主要功能是增强发电机的动态稳定性,特别是针对低频振荡(如电力系统的机电振荡)和瞬态过电压等问题。励磁阻尼控制通过调节发电机的励磁电流,改变发电机磁场的特性,进而影响发电机的电磁反应,达到抑制系统振荡和稳定电压的目的。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-19

基于龙格库塔算法的偏微分方程求解matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:偏微分方程求解 4.仿真效果:仿真效果可以参考博客同名文章《基于龙格库塔算法的偏微分方程求解matlab仿真》 5.内容:基于龙格库塔算法的偏微分方程求解matlab仿真。偏微分方程(PDE)的求解通常比常微分方程(ODE)更为复杂,因为PDE涉及到多个自变量的变化。龙格-库塔方法作为一种数值积分方法,主要用于解决一阶或更高阶的常微分方程初值问题,直接应用于偏微分方程并不适用。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-19

基于强化学习的非平稳学习回报最大化matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:强化学习 4.仿真效果:仿真效果可以参考博客同名文章《基于强化学习的非平稳学习回报最大化matlab仿真》 5.内容:基于强化学习的非平稳学习回报最大化matlab仿真。非平稳环境下的强化学习(Reinforcement Learning, RL)挑战在于,环境的动力学特性(例如奖励函数或状态转移概率)随时间变化,这要求学习算法不仅要适应当前环境,还要持续学习以应对未来的变动。最大化非平稳环境中的学习回报,关键在于设计或选择能够灵活适应变化、快速学习和泛化的策略与算法。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-13

基于PI控制的电动车建模与性能分析matlab仿真,包括程序/simulink模型,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序/simulink模型,注释,操作步骤(使用windows media player播放)。 3.领域:电动车 4.仿真效果:仿真效果可以参考博客同名文章《基于PI控制的电动车建模与性能分析matlab仿真》 5.内容:基于PI控制的电动车建模与性能分析matlab仿真。基于PI控制的电动车模型主要涉及到两个部分:电动车的动力学模型和控制器的设计。PI控制器(比例-积分控制器)因其简单且有效的特性,在车辆控制工程中被广泛应用。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-13

基于二自由度的半主动悬架控制系统matlab建模与仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:半主动悬架控制系统 4.仿真效果:仿真效果可以参考博客同名文章《基于二自由度的半主动悬架控制系统matlab建模与仿真》 5.内容:基于二自由度的半主动悬架控制系统matlab建模与仿真。基于二自由度的半主动悬架控制系统是一种旨在改善汽车行驶平顺性和操纵稳定性的控制系统。这种系统通过实时调节悬架阻尼力,以适应不同路况和驾驶条件,减少车身振动和冲击,同时确保车辆稳定性。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-11

基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:PWM脉宽调制方波产生器 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench》 5.内容:基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench。占空比(Duty Cycle)定义为PWM信号高电平时间与总周期时间的比率,通常以百分比表示。例如,占空比为70%意味着在一个完整的周期内,信号有70%的时间处于高电平状态,剩余30%处于低电平。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-11

基于FPGA的可编程方波发生器verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:可编程方波发生器 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的可编程方波发生器verilog实现,包含testbench》 5.内容:基于FPGA的可编程方波发生器verilog实现,包含testbench。通过可变的开启(逻辑1)和关闭(逻辑0)间隔。间隔的持续时间为由两个4位控制信号指定。开启和关闭间隔分别为m*100ns和n*100ns。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-11

分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:电力负荷预测 4.仿真效果:仿真效果可以参考博客同名文章《分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真》 5.内容:分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真。在电力负荷预测中,BP神经网络(Back Propagation Neural Network)和广义回归神经网络(Generalized Regression Neural Network,简称GRNN)都是常用的预测模型。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-09

基于图像纹理特征提取的图像分割算法matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:图像分割 4.仿真效果:仿真效果可以参考博客同名文章《基于图像纹理特征提取的图像分割算法matlab仿真》 5.内容:基于图像纹理特征提取的图像分割算法matlab仿真。图像分割是计算机视觉和图像处理领域中的一个基本问题,旨在将图像划分为多个互不相交的区域,这些区域在某些特性上(如颜色、亮度、纹理等)相对一致。基于图像纹理特征的分割算法利用了图像中像素之间的空间关系和结构模式,这些特征能够有效地反映出不同区域间的差异性。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除