差分信号与差分时钟

1.高速链路中常用差分信号的形式传输数据和时钟

差分信号能很好的抑制噪声和共模漂移。

2.在PLL中 比如PCIE/DP/USB这些高速接口中 会用到差分参考时钟

        其原因也在于差分参考时钟从晶振到SERDES IO的时候 噪声更小,而且可以减少共模漂移,这样的参考时钟品质更高,在实际给PLL使用的时候,PLL内部还是会先把差分时钟转为单端的同频时钟。

        HDMI 2.0及之前的版本就可以不用差分参考时钟

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值