vcs+verdi简单的仿真

虚拟机使用的是安装好工具的,默认环境安装好。

两个模块,一个tb.v,一个led.v

tb.v如下:

`timescale 1ns/1ps
module tb();
  reg   clk;
  reg   arst;
  wire  led_out;

  initial begin
    clk = 1'b0;
    forever #(5.000/2) clk = ~clk;
  end

  initial begin
    arst = 1'b0;
  #100
    arst = 1'b1;
  repeat(10) @(posedge clk);
    arst = 1'b0;
  #10000
    $finish;
  end
  initial
    begin
      $fsdbDumpfile("tb.fsdb");
      $fsdbDumpvars(0,tb);
    end
  led dut(clk,arst,led_out);

endmodule

led.v如下:

module led(
  input   wire      clk,
  input   wire      arst,
  output  wire      led_out

);
  reg   [7:0]      led_cnt;
  always@(posedge clk or posedge arst)begin
    if(arst)
      led_cnt <= 'd0;
    else
      led_cnt <= led_cnt + 1'b1;
  end

assign led_out = led_cnt[7];

endmodule

makefile编写如下

all:\
    vcs \
    sim \
    verdi
vcs:
    vcs -full64 -kdb -debug_access tb.v led.v

sim:
    simv 

verdi:
    verdi -ssf tb.fsdb &

clean:
    rm -rf AN.DB DVEfiles csrc simv *.simv simv.daidir *.simv.daidir ucli.key
    rm -rf *.log* *.vpd *.fsdb
    rm -rf verdiLog novas.conf novas.rc

参考:VCS ® User Guide P-2019.06-SP1, September 2019

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值