Verilog HDL学习笔记1

1.Verilog HDL 程序结构

1.1 端口说明

模块端口是指模块与外界交互信息的接口,包括3 种类型:

(1)input: 输入端口,模块从外界读取数据的接口,在模块内不可写
(2)output:输出端口,模块往外界送出数据的接口,在模块内不可读
(3)inout:输入输出端口,也成为双向端口,可读取数据也可以送出数据,数据可双向流动。

1.2 模块例化

(1)位置映射法
 语法:
模块名 例化名 (连接端口1 信号名, 连接端口2 信号名, 连接端口3 信号名,…);

compare_core   inst_compare(
result0, a0,b0
);

(2)信号名称映射法
 语法
模块名 例化名
(.端口1 信号名(连接端口1 信号名),
.端口2 信号名(连接端口2 信号名),
.端口3 信号名(连接端口3 信号名),…
);

compare_core   
  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值