pt_session流程

pt 即 prime time,数字IC后端设计人员用于check pr之后的path timing 的重要工具。

在从后端拿到pt_session的前提下,确认sdc或者cdc_sdc是否有语法问题等,完成脚本的快速迭代,确保前端交付质量。

步骤:

1:在指定的服务器上启动PT,使用可用的版本,打开pt_shell

2:读取session(由后端提供)

      restore_session [后端session]

3:如果需要重新source sdc 需要清除之前的sdc后再重新source

      reset_design   -keep_parasitics        #移除约束,但是保留RC参数

      source -e -v xxx.sdc > *xxx.log    //将source sdc后的log保存在xxx.log中

4:使用report_timing这个命令去报timing path

      例如:report_timing -to xxx/xxx/xxx/xx_data[0]   #查看到data[0]的path timing

                 report_timing -from u_xxx/u_xxx/xxx_data[0] -to [get_clocks xxx_clk]  #查看从xxx_data[0]到 xxx_clk 时钟域的path

                 report_timing -to u_xxx/u_xxx/u_xxx_data[0] -del min   #查看hold

5:report所有violation路径

     report_constraint -all_violation -max_delay    #这个是以endpoint来看

     report_timing -slack_lesser_than 0 -max_paths 1000000 -path_type short # 同时有起点终点

6:备注

-inclu :

-input :会打印出来path上经过这个cell的in&out port

-path_type full_clock_ex 会展开时钟

不同corner的session的timing 不一样,ss较大,ff较小,slack也不一样。

  • 2
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值