Fsm ps2data

See also: PS/2 packet parser.

Now that you have a state machine that will identify three-byte messages in a PS/2 byte stream, add a datapath that will also output the 24-bit (3 byte) message whenever a packet is received (out_bytes[23:16] is the first byte, out_bytes[15:8] is the second byte, etc.).

out_bytes needs to be valid whenever the done signal is asserted. You may output anything at other times (i.e., don't-care).

For example:

 

module top_module(
    input clk,
    input [7:0] in,
    input reset,    // Synchronous reset
    output [23:0] out_bytes,
    output done); //

    parameter BYTE1 = 2'b00,
    			BYTE2 = 2'b01,
    		BYTE3 = 2'b11,
    		DONE = 2'b10;
    
    reg [1:0] state;
    reg [1:0] next_state;
    reg [7:0] in_1, in_2;
   
  
    always@(*)
        case(state)
        	BYTE1: 
                if(in[3])
                    next_state <= BYTE2;
            	else 
                     next_state <= BYTE1;
            BYTE2:  
                     next_state <= BYTE3;
            BYTE3:  
                    next_state <= DONE; 
            DONE: 
                if(in[3])
                    next_state <= BYTE2;
            	else 
                     next_state <= BYTE1;
            default:
                next_state <= BYTE1;
        endcase
    
     
    always@(posedge clk)
        if(reset)
        	state <= BYTE1;
    	else 
            state <= next_state;
     
    always@(posedge clk)
        if(reset) begin
        	in_1 <=  8'b0;
            in_2 <=  8'b0;
            out_bytes <= 24'b0;
        end
    	else  begin
            in_1 <=  in; 
            in_2 <= in_1;
            out_bytes <= {in_2,in_1,in};
        end
    
	assign done = state == DONE;

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

eachanm

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值