verilog中a+:b是什么意思

reg m [2+:3]应该就类似于reg m [4:2];

           3代表片选3bit。

片选(partselect),从a开始选a到(a+b)-1的位,一共b  bit

 

 

Verilog 中 定义module 后面的括号前先加#号,然后一个括号里一堆parameter,然后才是Port名,是什么意思?

parameter在#后面是“可以提供给外部调用”的常数参数。
这是VERILOG2001的新标准,实习系统级的抽象。

和延时信息#是两回事

  • 4
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值