FPGA 学习 -001 :verilog 语法:localparam、parameter `define

verilog 语法:localparam、parameter `define

define,是宏定义,全局有效。则在整个工程都是有效
parameter,参数,可以由调用者修改参数值。
localparam,本地参数,调用者不可修改。

注意: `define+name+参数   (之后不加;)

`define 与localparam和parameter最大的区别就是`define 可以跨文件传递参数;parameter只能在模块间传递参数;而localparam只能在其所在的module中起作用,不能参与参数传递。

 

例如:

  `define                           uart_state_SENDNUM            20     //注意不加;不能忘记" ` "
    localparam                  uart_state_SENDNUM  =  8'd20     ;//

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值