3. DFT 入门篇-scan chain—design rule check set/reset问题

本文介绍了在SCAN设计过程中遇到的set/reset问题,特别是复位信号不统一导致的问题。通过图示说明问题所在,并提出两种解决方案:修改设计或使用工具自动修复。以Tcl命令为例,展示了如何约束和指定复位信号,确保工具正确处理。最后,文章指出在实际SCAN链完成后,通常需要解决时钟和set/reset问题,提供了解决这些问题的思路。
摘要由CSDN通过智能技术生成

 

做SCAN过程中需要考虑的问题

 

 

上一节讲到如果要进行SCAN rule  check 时出现 set/reset情况该如何处理

例子:复位信号不统一,做scan 会出现问题

这是用图直观的说明可能会出现的问题

 

两种方法一种是改设计,一种是用工具autofix,这里是用的工具自动修复

Tcl  中加入 下面一些约束   :

注意active_state0  代表低复位;

Test_data rstn  代表用rstn进行进行复位,这里要加上这个,不然工具会创建一个新的port作为复位信号

 

 

手册中对

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值