牛客verilogVL21 根据状态转移表实现时序电路

VL21 根据状态转移表实现时序电路

点击进入题目
用三段式解法,之前图省事,中间部分nstate的赋值,一般都在always后面的括号直接写(*),这次试着加入变量,结果理解不到位漏写state,特此记录
题目所给

`timescale 1ns/1ns

module seq_circuit(
      input                A   ,
      input                clk ,
      input                rst_n,
 
      output   wire        Y   
);
parameter s0=0,s1=1,s2=2,s3=3;

reg [1:0]state,nstate;

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)
      state <=0;
    else
      state <= nstate;
end

always @(A or state)begin//此处容易漏写
    case(state)
    s0:nstate=A?s3:s1;
    s1:nstate=A?s0:s2;
    s2:nstate=A?s1:s3;
    s3:nstate=A?s2:s0;
    default:nstate=s0;
    endcase
end

assign Y=(state==s3)?1:0;

endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值