【AXI4 verilog】手把手带你撸AXI代码(三、同步fifo设计)

为了支持AXI的outstanding特性,在设计从机时,需要使用fifo来缓存各通道的指令和数据。
该FIFO特性如下:同步fifo,宽度32,深度31,带有空满指示信号,空时禁止读取。满时禁止写入。否则会造成内部指针错误。预读取:读数据时钟裸漏,当读使能有效时。当前数据消失,下一笔数据裸露,如此直到数据取走为止。

RTL设计

//宽度为32,深度为31   //看似深度为32实则为31,最后一个位置永远不会被操作
//特性:空了不准读,满了不准写,否则会造成内部指针错乱
module sync_fifo(
	input rst_n,
	input clk,

	input wr_en,
	input [31:0]din,
	
	input r
  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 5
    评论
AXI4(Advanced eXtensible Interface 4)是一种高性能、低功耗、可扩展的片上总线协议,用于在系统级设计中连接和通信各种IP核。通过使用AXI4协议,设计人员可以轻松实现高性能和可扩展性的系统。 在学习AXI4协议时,您可以参考GitHub上的一些代码和资料,例如中提到的GitHub地址 https://github.com/Verdvana/AXI4_Interconnect。这个GitHub仓库提供了一些关于AXI4协议的代码示例,可以帮助您更好地理解和应用AXI4协议。 另外,如果您想了解AXI4协议的具体功能和使用方法,可以参考中提到的一个模块框图和参数与接口信号的说明。该文档描述了一个AXI4-Lite协议主机从FWFT FIFO中读取地址和数据,并将数据写入到设定的地址中的操作。 总而言之,AXI4协议是一种片上总线协议,通过使用GitHub上的代码示例和相关的文档资料,您可以更好地理解和应用这个协议。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [AXI4 简单verilog设计(熟悉协议)](https://blog.csdn.net/weixin_44617175/article/details/130833785)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *3* [Verilog功能模块——AXI4-Lite协议主机-单次写-使用FIFO](https://blog.csdn.net/weixin_42837669/article/details/121872836)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

搞IC的那些年

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值