vivado生成IP核及使用

本文详细介绍了如何在Vivado中生成一个IP核,包括新建工程、添加文件、综合代码、创建并封装IP,以及设置时钟、复位、中断信号等属性。此外,还讲解了在Block Design中使用生成的IP核的方法,确保中断信号正确连接以供后续的SDK使用。
摘要由CSDN通过智能技术生成

利用vivado生成一个IP
1.    新建一个工程(注意:要保证所选FPGA型号正确)
 
2.    添加要封装成IP的文件
  

3.    将代码进行综合
 
4.    综合完成后,选择ToolsCreate and Packge New IP
 
5.    选择后,会弹出如下界面,单击Next
 
6.    选择Packge your current project,Next
 
7.    选择生成IP保存的路径和是否要包含代码中使用的IP的源码,例子中没有使用Xilinx的官方IP,这里选择的默认包含.xci文件,Next(选择保存IP的路径最好是单独创建一个文件夹,方便移植使用)
 
8.    选择OK
 

  • 17
    点赞
  • 86
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

清明元宋唐

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值